已阅读5页,还剩3页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
北京化工大学北方学院课程设计报告课程名称 控制工程课程设计 专业、班级 自控0906 学 号 090204142 姓 名 李庄 指导教师 陈晓芳 设计时间 2012.9.24-2012.10.19 2012年 10 月 20 日一、 引言(简要说明设计题目的目的、意义、内容、主要任务等)目的:(1)熟练掌握VHDL文本输入设计方法。 (2)初步掌握VHDL语言中时序逻辑的设计实现。 (3)熟练掌握根据波形仿真结果进行验证设计的过程 内容:学习VHDL的CASE语句应用, 学习简单时序电路的设计、仿真; 任务:使用VHDL语言设计实现基本的实验内容。 意义: 锻炼学生的动手能力以及学习软件与硬件结合的能力; 让我们达到实际操作的要求,为以后步入社会打下一点基础; 二、 正文(课程设计的主要内容,包括实验与观测方法和结果、仪器设备、计算方法、编程原理、数据处理、设计说明与依据、加工整理和图表、形成的论点和导出的结论等。正文内容必须实事求是、客观真切、准确完备、合乎逻辑、层次分明、语言流畅、结构严谨,符合各学科、专业的有关要求。) 仪器设备:数字电路实验箱EDA软件; 设计要求: 控制8个LED进行花式显示,设计4种显示模式:S0:从左到右逐个点亮LED;S1:从右到左逐个点亮LED;S2:从两边到中间逐个点亮LED;S3:从中间到两边逐个点亮LED;4种模式循环切换,复位键(rst)控制系统的运行和停止。 设计方法:采用文本编辑法,即利用VHDL语言描述跑马灯,代码如下;软件程序: LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY led ISPORT(clk:in std_logic; rst:in std_logic; q:out std_logic_vector(7 downto 0);end;architecture one of led is type states is(s0,s1,s2,s3); signal present:states; signal q1:std_logic_vector(7 downto 0); signal count:std_logic_vector(3 downto 0);begin process(clk,rst)begin if rst=1 thenpresent=s0;q10);elsif clkevent and clk=1 then case present is when S0=if q1=00000000then q1=10000000;else if count=0111then count0); q1=00000001; present=s1; else q1=q1(0)&q1(7 downto 1); count=count+1; presentif count=0111then count0); q1=10000001; present=s2; else q1=q1(6 downto 0)&q1(7); count=count+1; presentif count=0111then count0); q1=00011000; present=s3; else q1(7 downto 4)=q1(4)&q1(7 downto 5); q1(3 downto 0)=q1(2 downto 0)&q1(3); count=count+1; presentif count=0111 then count0); q1=10000000; present=s0; else q1(7 downto 4)=q1(6 downto 4)&q1(7); q1(3 downto 0)=q1(0)&q1(3 downto 1); count=count+1; present=s3;end if;end case;end if;end process; q=q1;end;仿真结果:跑马灯的功能仿真结果如图a,b所示,其时序仿真结果如图c,d所示观察波形可知,随着不同输入信号的输入,从而得到不同的输出,最终实现了跑马灯的功能。 功能仿真图如下: 图a 图 b时序仿真图如下: 图c 图d 三、 结论(应当准确、完整、明确精练;也可以在结论或讨论中提出建议、设想、尚待解决问题等。)心得体会: 在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。例如可以在多个独立的模块中同时入行不同方式的数据交换和控制信号传输,这种并行工作方式是任何一种基于CPU 的软件程序语言所无法描绘和实现的。传统的软件编程语言只能根据CPU 的工作方式,以排队式指令的形式来对特定的事件和信息进行控制或接收。在CPU 工作的任一时间段内只能完成一种操作。因此,任何复杂的程序在一个单CPU 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。 总结: 在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,相反的,每次做完实验之后,都会感觉自己收获不少,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。四、 参考文献【1】张艳春. 数字电子系统的EDA设计方法研究 . 现代电子技术 2009年;【2】赵伟军;Protel99se教程.北京 . 人民邮电出版社 1996年;【3】金西.VHDL与复杂数字系统设计.西安 .西安电子科技大学出版社 2003年;【4】汉泽西EDA技术及其应用 .北
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- GB/T 3871.9-2025农业拖拉机试验规程第9部分:牵引功率试验
- 典当业务员设备安全技术规程
- 减变速机装配调试工安全文化评优考核试卷含答案
- 衡器总装调试工设备技术规程
- 动物胶提胶浓缩工岗前纪律考核试卷含答案
- 眼镜验光师岗位职业健康、安全、环保技术规程
- 车用加气站操作员工艺作业技术规程
- 小学语文基础知识训练
- 孕期营养剂的补充
- 2025年汉中市中小学教师招聘笔试参考试题及答案解析
- 2025福建宁德古田县粮食购销有限公司招聘3人笔试备考试题及答案解析
- 人力资源考试试题(附答案)
- 护理CCU进修汇报课件
- 《小学语文课程与教学》课件 第1、2章 语文课程与标准解读、小学语文教材研究
- 微波暗室管理办法
- 河南渣土管理办法
- 隐患奖励管理办法
- 贵州省黔东南苗族侗族自治州2024-2025学年七年级下学期7月期末数学试题(含部分答案)
- 城区雨污水泵站及管网工程运营管理方案
- 第11课 古代战争与地域文化的演变 课件-【知识提要】高二下学期历史统编版(2019)选择性必修3
- 资源与运营管理-第二次形考任务-国开-参考资料
评论
0/150
提交评论