EDA源程序与仿真波形.doc_第1页
EDA源程序与仿真波形.doc_第2页
EDA源程序与仿真波形.doc_第3页
EDA源程序与仿真波形.doc_第4页
EDA源程序与仿真波形.doc_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

十六位加法器源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY adder IS PORT ( A:IN STD_LOGIC_VECTOR(15 DOWNTO 0); B:IN STD_LOGIC_VECTOR(15 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END adder;ARCHITECTURE X OF adder ISBEGIN C=A+B;END X;十六位加法器仿真波形:四位的二选一多路选择器源程:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX4 IS PORT ( A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); SEL:IN BIT; Y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END MUX4;ARCHITECTURE Z OF MUX4 ISBEGIN PROCESS(A,B,SEL) BEGIN IF SEL=0 THEN Y=A; ELSE YA=1;B=1;C=1;D=1;E=1;F=1;GA=0;B=1;C=1;D=0;E=0;F=0;GA=1;B=1;C=0;D=1;E=1;F=0;GA=1;B=1;C=1;D=1;E=0;F=0;GA=1;B=0;C=0;D=1;E=1;F=1;G=8 THEN result(i)=0;ELSIF i=7 THEN result(i)=12)OR(i=3) THEN result(i)=4)AND(i=11) THEN result(i)=im(i-4);END IF;END LOOP;ELSIF cnt=10 THENFOR i IN 0 TO 15 LOOPIF i=7 THEN result(i)=8 THEN result(i)=im(i-8);END IF;END LOOP;END IF;END PROCESS;END behave;八位到十六位移位器仿真波形:十六位同步寄存器源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY reg ISPORT(CLK,CLR,CLKEN:IN STD_LOGIC; IN_REG:IN STD_LOGIC_VECTOR(15 DOWNTO 0); OUT_REG:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END reg;ARCHITECTURE behave OF reg ISBEGINPROCESS(CLK)BEGINIF(CLKEVENT AND CLK=1) THENIF (CLR=1)AND(CLKEN=0) THENOUT_REG(15 DOWNTO 0)=IN_REG(15 DOWNTO 0);ELSIF CLR=0 THENOUT_REG=0000000000000000;END IF;END IF;END PROCESS;END behave;十六位同步寄存器仿真波形:二位异步计数器源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_UNSIGNED.ALl;USE IEEE.STD_LOGIC_1164.ALL;ENTITY COUNTER ISPORT(CLK,CLR:IN STD_LOGIC; SUM:BUFFER STD_LOGIC_VECTOR(1 DOWNTO 0);END COUNTER;ARCHITECTURE behave OF COUNTER IS BEGINPROCESS(CLK)BEGINIF(CLKEVENT AND CLK=1) THENIF CLR=0 THENSUM=00;ELSIF CLR=1 THENSUM=SUM + 1;END IF;END IF;END PROCESS;END behave;二位异步计数器仿真波形:检查被控制的状态机源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CONTROL IS PORT(CLK,RST,START:IN STD_LOGIC; COUNT:IN STD_LOGIC_VECTOR(1 DOWNTO 0); IN_SEL,SHIF:OUT STD_LOGIC_VECTOR(0 to 1); STATE_OUT:OUT STD_LOGIC_VECTOR(0 to 2); DONE,CLKEN,REGCLR:OUT STD_LOGIC);END ENTITY CONTROL;ARCHITECTURE BEHAV OF CONTROL IS TYPE STATES IS(IDLE,LSB,MID,ERR,MSB); SIGNAL CURRENT_STATE,NEXT_STATE:STATES;BEGIN REG:PROCESS(RST,CLK) IS BEGIN IF RST=1THEN CURRENT_STATE=IDLE; ELSIF(CLK=1 AND CLKEVENT)THEN CURRENT_STATESTATE_OUT=000; IF START=0 THEN DONE=0; CLKEN=1; REGCLR=1; NEXT_STATE=IDLE; ELSIF START=1 THEN DONE=0; CLKEN=1; REGCLR=0; NEXT_STATESTATE_OUT=001; IF (START=0AND COUNT=00) THEN IN_SEL=00; SHIF=00; DONE=0; CLKEN=0; REGCLR=1; NEXT_STATE=MID; ELSE DONE=0; CLKEN=1; REGCLR=1; NEXT_STATESTATE_OUT=010; IF (START=0 AND COUNT=01 ) THEN IN_SEL=01; SHIF=01; DONE=0; CLKEN=0; REGCLR=1; NEXT_STATE=MID; ELSIF (START=0 AND COUNT=10) THEN IN_SEL=10; SHIF=01; DONE=0; CLKEN=0; REGCLR=1; NEXT_STATE=MSB; ELSE DONE=0; CLKEN=1; REGCLR=1; NEXT_STATESTATE_OUT=011; IF (START=0AND COUNT=11) THEN IN_SEL=11; SHIF=10; DONE=1; CLKEN=0; REGCLR=1; NEXT_STATE=IDLE; ELSE DONE=0; CLKEN=1; REGCLR=1; NEXT_STATESTATE_OUT=100; IF START=1 THEN DONE=0; CLKEN=1; REGC

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论