eda课程设计论文_第1页
eda课程设计论文_第2页
eda课程设计论文_第3页
eda课程设计论文_第4页
eda课程设计论文_第5页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1EDA课程设计论文课程设计论文课程设计论文课程设计论文电气自动化专业08电气1班级设计(实训)题目控制控制控制控制EDAEDA课程设计课程设计课程设计课程设计学生姓名学号01指导教师2010年9月5日2目录目录目录目录一课程设计目的3二PROTEL99SE综合设计31电路原理图设计32新建与放置元件33元件封装的定义与设定44生成网络表65印制路板的设计651规划电路板652设置参数653装入网络表754元器件布局755自动布线756手工调整自动布线7三实践设计81内容自动可乐售货机82要求83MAXPLUSII软件选择与论证84MAXPLUSII软件的使用941文本编辑942原理图编辑1643波形编辑18四心得体会20参考文献213一一一一课程设计目的课程设计目的课程设计目的课程设计目的1学习掌握PROTEL,EWB和MUXPLUS2设计实用电路的方法,能熟练运用这些软件设计电路2通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使我们具有一定的实践操作能力。二二二二PROTEL99SE综合设计综合设计综合设计综合设计电路原理图设计进入PROTEL99SE,在E盘建立一个文件夹,创建一个数据库,执行菜单FILE/NEW命令选择SCHEMATICDOCUMENT图标,双击该图标,建立原理图设计文档,执行菜单DESIGN/OPTIONS和TOOL/PREFERENCES,设置图纸大小A4、捕捉栅格、电气栅格等。新建与放置元件到元件库中找出所需的元件,然后用元件管理器的PLACE按钮将元件放置在工作平面上,按住TAP键,对元件属性进行设置,名称,标号。标称值或元件型号以及元件封装,单击OK键即可,再根据元件之间的走线把元件调整好。对于该原理图中的元件要求新建一个元件,单击DESIGN生成方案库,再单击TOOLS选择新建元件,命名。在新建元件界面的第四象限进行绘制新元件,这里得注意管脚的编号与之后封装的焊盘号要一致最后单击UPDATESCHEMATICS就可以应用到原理图中了。4自制的光敏电阻元件封装的定义与设定所示原理图中要求自制封装SCR,MICROPHONE,BRIDGE和光敏电阻。这里所需注意的是封装要在TOPOVERLY层中。单击FILENEW,选择PCBLIBRARYDOCUMENT主要是要看元件所示管脚,有几个管脚即放置几个焊盘。画完后单击UPDATEPCB即可应用,画出的相应封装如下图所示1SCR封装52MICROPHONE封装3BRIDGE的封装64光敏电阻的封装完成原理图各元器件放好后,进行相关的设置后,对于一些自制的封装,回到原理图写入FOOTPRINT,然后连接电路图7生成网络表网络表是电路原理图设计和印刷电路板设计之间的桥梁,执行菜单命令DESIGN/CREATENETLIST可以生成具有元件名、元件封装、参数及元件之间连接关系的网络表。印制路板的设计1执行菜单FILE/NEW命令,从框中选择(PCBDOCUMENT)图标,选择WIZARDS,选取第一个,根据下列要求确定电路板的尺寸及相关设置(使用双层版)8要求1电路板大小为100MM150MM2电源、地线铜膜线的宽度为50MIL;3一般布线的宽度为12MIL;4布线的线间距离为12MIL;5布线拐角模式为45转角;6元器件排列整齐、面板美观、使用方便。2设置参数执行菜单命令DESIGN/RULES,左键单击ROUTING按钮,根据设计要求,在规则类(RULESCLASSES)中设置参数选择WIDTHCONSTRAINT,对地线线宽进行设置左键单击ADD按钮,进入线宽规则设置界面,首先在RULESCOPE区域的FILTERKIND选择框中选择NET,然后在NET下拉框中选择GND,再在RULEATTRIBUTES区域将MINIMUMWIDTH、MAXIMUMWIDTH和PREFERRED三个输入框的线宽设置为50MIL;电源线宽的设置在NET下拉框中选择VCC,其他与地线线宽设置相同;整板线宽设置在FILTERKIND选择框中选择WHOLEBOARD,然后将MINIMUMWIDTH,MAXIMUMWIDTH和PREFERRED三个输入框的线宽设置为12MIL。3装入网络表9执行菜单DESIGN/LOADNETS命令,然后在弹出的窗口中单击BROWSE按钮,再在弹出的窗口中选择电路原理图设计生成的网络表文件(扩展名为NET),若出现错误提示,必须更改错误,如果没有错误,单击EXECUTE。4元器件布局PROTEL99SE既可以进行自动布局也可以进行手工布局,执行菜单命令TOOLS/AUTOPLACEMENT/AUTOPLACER可以自动布局。5自动布线执行菜单命令AUTOROUTING/ALL,并在弹出的窗口中单击ROUTEALL按钮,程序即对印刷电路板进行自动布线。只要设置有关参数,元件布局合理,自动布线的成功率几乎是100。6手工调整自动布线10经过自动布线,可得PCB版图三三三三实践设计实践设计实践设计实践设计1内容自动可乐售货机用两个发光二极管分别模拟售出面值为3元和5元的可乐,购买者可通过开关选择一种面值的可乐,灯亮时表示可乐售出。用开关分别模拟1元,5元和10元人民币投入,用发光二极管分别代表着回剩余的硬币。2要求每次只能售出1罐可乐,当所投硬币达到或超过购买者所选面值时,售出1罐可乐,并找回剩余的人民币,回到初始状态;当所投人民币不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。113MAXPLUSII软件MAXPLUSII软件是一款高效的、非常灵活的数字电路开发设计软件,它提供了多种输入方法供设计者选用,利用合适的输入方法设计完数字系统之后,设计者可利用逻辑综合工具进行逻辑综合,并可以用仿真器进行软件仿真,使设计者能够尽早发现设计中的错误,缩短设计周期,能直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库。该软件能进行任意层次的数字系统设计,对系统中的任意层次,或任意元件的功能进行精确的时序仿真;通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证,对器件的了解可使设计者更好的利用器件资源,掌握硬件描述语言可以快速的用VHDL进行设计4MAXPLUSII软件的使用1)文本编辑进入编辑VHDL语言界面,屏幕上用鼠标点击FILE选项,此时出现子菜单如图所示12由于是输入新文件,故点击子菜单中的NEW项,接着屏幕出现NEW的对话框如图11所示11图选择第三项,点击对话框的OK按钮,屏幕将会出现一个无名的编辑窗口,如图12所示1312在无名的文本编辑窗口下输完程序后点击FILE下的SAVE,在屏幕上会弹出一个对话框,在FILENAME中输入文件名,文件名必须跟程序中的工程名一致,文件的扩展名为VHD,存放在E下的班级及自己的名字必需用英文文件夹下面。文本编辑如下L代码输入LIBRARYIEEEUSEIEEESTD_LOGIC_1164ALLUSEIEEESTD_LOGIC_UNSIGNEDALLENTITYKELEIS14PORTM1,M5,M10INSTD_LOGICT3,T5INSTD_LOGICRESETINSTD_LOGICCLK1INSTD_LOGICS3,S5OUTSTD_LOGICCHOUTSTD_LOGIC_VECTOR4DOWNTO0ENDKELEARCHITECTUREKE_ARCOFKELEISBEGINPROCESSCLK1,M1,M5,M10,T3,T5,RESETVARIABLEMONEYSTD_LOGIC_VECTOR4DOWNTO0VARIABLEASTD_LOGICVARIABLECNTINTEGERRANGE0TO60BEGINIFCLK1EVENTANDCLK11THENIFA1THENIFM10THENMONEYMONEY1ELSIFM50THENMONEYMONEY5ELSIFM100THENMONEYMONEY1015ELSIFRESET0THENCH2THENCH4THENCHMONEY5S51A0ENDIFELSEIFCNT60THENCNTCNT1ELSECNT0MONEY“00000“S30S50CH“00000“A116ENDIFENDIFENDIFENDPROCESSENDKE_ARCLIBRARYIEEEUSEIEEESTD_LOGIC_1164ALLENTITYCIAOISPORTA,CLKINSTD_LOGICBOUTSTD_LOGICENDCIAOARCHITECTUREC_ARCOFCIAOISSIGNALTMP1STD_LOGICBEGINPROCESSCLK,AVARIABLETMP3,TMP2STD_LOGICBEGINIFCLKEVENTANDCLK0THENTMP1ATMP2TMP1TMP3NOTTMP217ENDIFBTMP1ANDTMP3ANDCLKENDPROCESSENDC_ARC2)原理图编辑单击图形编辑,单击在菜单栏中选择FILENEW命令或单击工具栏中的按钮,弹出如图对话框选择对话框中的图形编辑,然后点击“OK”按钮双击图纸空白处,或在菜单栏中选择SYMBOLENTERSYMBOL,弹出对话框选择自己文件保存的位置,选择CIAO,,所示引入输入和输出引脚,按照输入功能模块的方法,打ENTERSYMBOY对话框,在其中输入“OUTPUT”,单击“OK”,则输入引脚符号显示在图形文件窗口中,按CTRL键,将鼠标放OUTPUT上,按下18左键拖动鼠标,在其下创建“OUTPUT“符号的副本切记在复制过程中不要放松CTRL键,重复步骤引入“INPUT”符号。编辑如图连线5仿真结果仿真是EDA数字电路设计的一项强大功能,它可以帮助设计者模拟真实环境,解决逻辑错误。为了验证本设计的正确性,在MAXPLUS集成开发平台下对所编写的代码进行了编译仿真,19单击“OK”,按钮,仿真结束弹出错误警告对话框,如图仿真波形图如图20四四四四心得体会心得体会心得体会心得体会刚开学,第一周就是课程设计,对于PROTEL99SE软件,我们上学期刚学过,对于一些操作还是挺熟悉的的,对于此次课程设计,老师又给我我们做了详细的讲解,也向我们演示了一些操作,在画原理图的过程中,对于一些常见的元器件及封装,自己也能基本上不看资料,对于加载网络表出现的错误,自己也能独立去改正,认真的去修改错误,正确生成PCB版图。对于自动可乐售货机的设计,我们需要用到MAXPLUSII软件,对于VHDL语言自己也不是很熟悉,自己又拿出以前的课本,复习了一下基本知识,在上课的过程中,对于PROTEL99SE软件也能够熟练的应用,也学到了一些其他的知识,比如说截图,还有对课程设计的流程有了更加深入的了解,毕竟也做了几次课程设计在上课的过程中,自己也多次练习了画原理图,提高了自己的画图水平,然而对于MAXPLUSII软件,自己对相关操作已忘得差不多了,在编辑代码的过程中,对于出现的一些错误,自己很难去找出错误,但当和同学探讨时,就能找出错误的所在,进一步的完成下一步实验,在对电路连接的过程中,查询了以前的课

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论