2ASK调制器与解调器设计_第1页
2ASK调制器与解调器设计_第2页
2ASK调制器与解调器设计_第3页
2ASK调制器与解调器设计_第4页
2ASK调制器与解调器设计_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、2007级学生数字通信原理课程设计 数字通信原理课程设计报告书课题名称2ASK调制器与解调器设计姓 名学 号院、系、部物理与电信工程系专 业通信工程指导教师2010年 1 月15日一、 设计任务及要求设计目的熟练掌握Quartus设计软件的使用以及VHDL这一重要的硬件描述语言。进一步理解2ASK信号的调制与解调。掌握利用数字信号的离散取值特点通过开关键控载波实现数字调制的方法。设计要求 利用Quartus设计软件,编写VHDL程序,实现2ASK信号的调制与解调。并进行仿真,分析仿真结果。 指导教师签名: 2010年 1 月 15日 二、指导教师评语:指导教师签名: 2010年 1 月 15日

2、 三、成绩 验收盖章 2010年 1 月 15日 2ASK调制器与解调器设计1设计目的熟练掌握Quartus设计软件的使用以及VHDL这一重要的硬件描述语言。进一步理解2ASK信号的调制与解调过程。掌握利用数字信号的离散取值特点通过开关键控载波实现数字调制的方法。2设计原理2.1 2ASK调制振幅键控是利用载波的幅度变化来传递数字信息,而其频率和初始相位保持不变。数字信号对载波振幅调制称为振幅键控即 ASK(AmplitudeShift Keying)。ASK有两种实现方法:键控法和乘法器实现法。键控法是产生ASK信号的一种方法。在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息“0

3、”或“1”。一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(On Off Keying)。所以2ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。图1.1所示是该方法的原理框图。图1.1 键控法产生ASK信号原理框图另一种方法是乘法器实现法,其输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰。带通滤波器的输出是振幅键控信号。乘法器常采用环形调制器。为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,图1

4、.2所示就是以数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,实现ASK调制,产生信号。图1.2 用数字电路实现ASK调制2.2 2ASK解调 振幅键控信号解调有两种方法,即同步解调法和包络解调法。包络解调是一种非相干解调,原理框图如图1.3所示。图1.3 ASK包络解调原理框图同步解调也称相干解调, 信号经过带通滤波器抑制来自信道的带外干扰,乘法器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰。相干解调组成方框图如图1.4所示图1.4 相干解调器原理框图3设计步骤1.分析2ASK信号调制解调原理, 设计2ASK调制解调产生程序流程,编写

5、实现2ASK调制与解调的VHDL语言程序。2.分别对调制与解调程序进行编译。生成调制解调器然后进行仿真。包括建立波形文件、输入信号节点、设置波形参量、编辑输入信号、保存波形文件、运行仿真器等过程。完成仿真后分析仿真波形并记录。4程序设计4.1 2ASK调制VHDL程序library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_ASK isport(clk :in std_logic; -系统时钟 start :in std_logi

6、c; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic); -调制信号end PL_ASK;architecture behav of PL_ASK issignal q:integer range 0 to 3; -分频计数器signal f :std_logic; -载波信号beginprocess(clk)beginif clkevent and clk=1 then if start=0 then q=0; elsif q=1 then f=1;q=q+1; -改变q后面数字的大小,就可以改变载波信号的占空比 elsif q=3 then

7、f=0;q=0; -改变q后面数字的大小,则改变载波信号的频率 else f=0;q=q+1; end if;end if;end process;y=x and f; -对基带码进行调制end behav;4.2 2ASK解调VHDL程序library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_ASK2 isport(clk :in std_logic; -系统时钟 start :in std_logic; -同步信号 x :i

8、n std_logic; -调制信号 y :out std_logic); -基带信号end PL_ASK2;architecture behav of PL_ASK2 issignal q:integer range 0 to 11; -计数器signal xx:std_logic; -寄存x信号signal m:integer range 0 to 5; -计xx的脉冲数beginprocess(clk) -对系统时钟进行q分频beginif clkevent and clk=1 then xx=x; -clk上升沿时,把x信号赋给中间信号xx if start=0 then q=0; -

9、if语句完成q的循环计数 elsif q=11 then q=0; else q=q+1; end if;end if;end process;process(xx,q) -此进程完成ASK解调begin if q=11 then m=0; -m计数器清零elsif q=10 then if m=3 then y=0; -if语句通过对m大小,来判决y输出的电平 else y=1; end if;elsif xxevent and xx=1then m=m+1; -计xx信号的脉冲个数end if;end process;end behav;5仿真图及分析5.1 2ASK调制VHDL仿真图如图

10、5.1及局部放大图5.2 图5.1 2ASK调制VHDL仿真图注:a.基带码长等于载波f的6个周期。b. 输出的调制信号y滞后于输入基带信号x一个clk时间。图5.2 2ASK调制仿真局部放大图5.2 2ASK解调VHDL仿真图如图5.3及局部放大图5.4图5.3 2ASK解调VHDL仿真图注:a.在q=11时,m清零。b.在q=10时,根据m的大小,进行对输出基带信号y的电平的判决。c.在q为其它时,m计xx(x信号的寄存器)的脉冲数。d. 输出的基带信号y滞后输入的调制信号x 10个clk图5.4 2ASK解调仿真局部放大图5.3 仿真结果分析从调制仿真图中可以看到数字基带信号对载波进行调

11、制,载波频率和初始相位保持不变。符号“1”和“0”分别表示有电压和没有电压。并且调制后的信号滞后于输入基带信号一个clk时间。从解调仿真图可以看到,已调信号经解调后还原成基带信号。已调信号有电压时解调为“1”,没有信号时解调为“0”。且滞后输入的调制信号10个clk时间。6设计总结通过这次通信原理课程设计实验,我进一步掌握了Quartus设计仿真软件以及VHDL硬件描述语言的使用方法。理解了2ASK信号的调制与解调以及其硬件实现过程。加深了对通信原理这门课程的认识。通过此次课程设计,认识到课堂上学到的知识只有经过实践,才能掌握和利用,体现出它的价值。也让我明白了动手能力培养的重要性。此外,在本次课程设计中,我也深深认识到掌握一种专业仿真软件的使用是大学生应该具备的重要技能。7心得与体会在设计过程中,获得的最大体会是学习需要课外知识的补充。查阅课外有关资料是非常必要的。与此同时,遇到问题自己找方法解决,锻炼了自己解决实际问题的能力;不懂的地方向老师同学虚心请教。设计过程中老师和同学的帮助让我获益匪浅。也认识到动手操作能力和掌握一门专业仿真软件的重要性。参考文献1 江国强.EDA技

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论