




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、基于fpga的1553b航空总线协议接口芯片设计摘要:针对以往1553b航空总线接口电路管理复杂、体积较大、功耗较高、成本较高、难以重新编程修改、无法适应不同环境多种机体等问题,本文提出利用fpga的可移植性、高度集成性、在系统可编程等性能优势,设计基于fpga的1553b总线接口芯片。在quartusii上,采用vhdl语言进行了该接口芯片曼彻斯特编码器设计;曼彻斯特解码器设计;曼彻斯特数据编码控制与监视器设计,分析数据字的命令并进行相应控制及监视,以提高可控制性;曼彻斯特解码控制与监视器的设计,分析数据字工作方式,及时反馈错误,并实现控制与监视,以提高可靠性。实验结果表明,基于fpga的1
2、553b总线接口芯片的各模块设计符合要求,能对数据进行正确的曼彻斯特编码、解码,能对命令进行正确的分析处理等。应用fpga进行设计,可获得自主知识产权且性能正确可靠的航空总线接口芯片,并具备在系统可编程等特点。关键词:1553b航空总线 接口芯片 fpga vhdl 曼彻斯特编码 曼彻斯特解码目 录1 引言- 1 -2 基于1553b总线协议的系统概述- 2 -2.1 1553b总线协议概述- 2 -2.2系统功能分析及顶层规划描述- 3 -3 系统各模块的设计- 4 -3.1 曼彻斯特解码器模块- 4 -3.1.1曼彻斯特解码器设计流程及实现- 4 -3.1.2曼彻斯特解码器状态机- 6 -
3、3.1.3曼彻斯特解码器模块的vhdl实现- 7 -3.2 曼彻斯特编码器模块- 8 -3.2.1曼彻斯特编码器设计流程及实现- 8 -3.2.2曼彻斯特编码器模块的vhdl实现- 10 -3.3 曼彻斯特解码采集控制器设计- 12 -3.4 曼彻斯特编码采集控制器- 13 -4 仿真图形及实验结果- 14 -4.1曼彻斯特解码器的仿真实验结果- 14 -4.1.1 同步字检测的仿真实验结果- 14 -4.1.2 曼彻斯特解码器的仿真- 14 -4.2曼彻斯特编码器的仿真实验结果- 15 -5 外围硬件电路- 15 -6 结论- 17 -参考文献- 17 -致 谢- 18 - 21 -1 引言
4、mil-std-1553b总线协议是一种集中控制式、时分指令/响应型多路串行数据总线标准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的最有效解决方案。现已广泛应用于飞机、舰船、坦克等武器平台上,并越来越多的应用于民用领域。基于现场可编程门阵列 (fpga) 核心的mil-std-1553b航空总线的设计与实现体现了现代航空电子设计的新思路与方法。此项技术具有多种优势:如废弃组件管理、降低设计风险、提高集成度、减小体积、降低功耗和提高故障平均间隔时间(mtbf)等6,吸引着用户将原来的系统转移到此项技术。在高要求的军用设计中,每项设计模块的设计空间、功耗和重量都必须减少。特别对于军用
5、航空方面,满足这些要求至关重要。由于上述要求直接作用于芯片级别,若使用单一的传统芯片,体积减小后会对多项板卡的要求降低,从而导致外壳封装,元件固定,器件冷却等要求降低。相反的,如若添加组件,必然增加故障发生概率。如若利用基于现场可编程门阵列 (fpga)来减少芯片数量固然有助于降低误码率,缓解这些问题。过去,典型的1553b系统一般都由多个cots组件构成mil-std-1553b总线的i/o通常由单一来源的带有内部处理功能的 asic 提供,这种内部处理可提供消息处理与缓冲以及对mil-std-1553比特流进行编解码等。asic 中可能含有也可能没有向mil-std-1553总线提供物理接
6、口的收发器组件。每个 asic 为一个双冗余mil-std-1553通道提供此功能。所以支持多个 mil-std-1553 通道的系统就需要多个 asic 和收发器。与每个 mil-std-1553 总线的连接是通过板载变压器实现的。最后,由一个或几个可编程的 fpga 设备将 mil-std-1553 asic 连接到主系统,并提供更多的系统功能,如其它 i/o、存储器访问和处理器接口等。6 fpga通常以逻辑单元或门来度量。有多种形式架构,并提供了丰富的 i/o 引脚可供使用。fpga 还可提供内部存贮器。现代 fpga 海量的存贮和功能使其成为 mil-std-1553 设计最理想的选择
7、其核心为预先定义的、且经过测试的功能,这些功能可以应用到fpga设中。 其主要优势还有:1) 线性局域网络结构,减少了电缆、所需空间和系统的重量,便于维护,易于增减节点,提高设计灵活性2) 支持多种节点3) 高水平的电气保障性能4) 良好器件可用性5) 保证实时可确定性,其命令/响应的协议方式确保了其实时可确定性【4】2 基于1553b总线协议的系统概述2.1 1553b总线协议概述1553b总线协议是由美国军方在20世纪70年代制定的,在航空、航天、军事等领域应用广泛协议采用双向曼彻斯特码,数据在总线上以串行脉冲形式传输,传输速率为1mbs协议规定的信息字类型有3种,分别是命令字、数据字和状
8、态字总线上连接的节点类型有3类:总线控制器(bc)、远程终端(rt)和总线监视器(bm)其框图如图1所示。 图1.1总线与总线控制器(bc)、远程终端(rt)和总线监视器(bm)挂接框图一条总线上挂有1个bc,1个mt和至多31个rt总线传输的消息格式有bc到rt,rt到bc,rt到rt,广播以及方式代码5 1553b有三种字格式分别为:命令字,数据字,状态字.其消息字格式如图1.2所示。图1.2 mil-std-1553b总线消息格式如图所示,同步头为三位,命令字与状态字(先正后负,前1.5个脉冲为高电平,后1.5个脉冲为低电平)与数据字(先负后正,前1.5个脉冲为低电平,后1.5个脉冲为高
9、电平)不同由于1553b在通信时使用的是曼码编码,而且同步字有半个脉冲的变化,故可倍频将20位字格式曼码编码后视为为40位62.2系统功能分析及顶层规划描述1553b航空总线接口芯片的设计主要达到以下功能:1)正确完成曼彻斯特码的编码,以完成对子系统的控制与总线的通讯。2)正确完成曼彻斯特码的解码,以完成信息数据的通讯与反馈。3)对编码与解码的结果进行监视与控制,以增加整体系统的可靠性。本文设计可完成对总线控制、远程终端信息通讯及反馈和总线信息传输的监视等三方面的功能,即可完成总线控制器(bc)、远程终端(rt)和总线监视器(bm)等三方面的功能。图2.1为芯片核内设计框图。时钟管理解码器编码
10、器bc/rt译码管理模块ram信号监视与指示灯预留接口通信接口管理bc/rt编码模块ram信号监视与指示灯预留接口接口处理1553b总线并串转换图2.1芯片核内设计框图通道a:反馈的状态消息字或是传输用的数据消息字信息,经串并转化后进行曼彻斯特解码,分别解读出消息的同步头、校验位及其数据信息,送入管理模块判断。并点亮相应的指示灯。通道b:作为bc(rt)发出命令(状态)字或是传输用的数据字,先经由管理模块进行判决分析,点亮对应的指示操作灯。同时也起到缓存作用。在此之后,由编码器进行编码输出通信。3 系统各模块的设计3.1 曼彻斯特解码器模块1553b协议要求利用曼彻斯特码传输,曼彻斯特编码要求
11、:消息位1要编码为”10”消息位0要编码为”01”曼码的时钟扫描频率为字扫描频率的两倍同步字若用曼码扫描频率可等效为”111000”或”000111”根据曼码的编码要求 同步字为无效字段既可以实现同步功能3.1.1曼彻斯特解码器设计流程及实现曼彻斯特解码器在接口设计中作为数据接收端,将经过编码的曼彻斯特码接收,并进行解码。然后转换成并行数据输入处理器进行数据处理。设计流程如图3.1所示:否是否是否是开始检测同步字头序列数据序列的读入数据采集与储存并计数数据是否采集完毕解码程序的调用并开始解码全解码数据序列储存判断奇偶效验位解码成功发送信息结束图3.1 曼彻斯特解码器程序流程图此模块功能:1、同
12、步数据输入及时间的采集。2、同步字的检验。3、对曼彻斯特码进行检验与处理,解码后转换成并行数据送入处理器,并进行奇偶效验。其逻辑框图如图3.2所示。同步字头状态检验时钟输入曼码输入采样计数数据采集与解码数据准备完毕奇偶效验数据寄存曼码解码控制模块图3.2 曼彻斯特解码器逻辑框图其时钟频率与传输频率相同,同步字检验由状态机完成。由于同步字是由“000111”或“111000”等,为无效曼彻斯特码故可用状态机判断输入序列的同步字起始位置,同步字后的为输入的有效数据序列段,探测到有效的同步字头后,开始采集和解码并储存,同时进行数据的计数,在数据的尾部进行奇偶效验位的判定,决定此序列是否需要重发。当数
13、据准备完毕后,以并行数据形式,送入处理模块。3.1.2曼彻斯特解码器状态机由于要检索同步字头以判断数据类型,故加入状态机以助于同步字的检测。例如对“111000 ”序列进行检测,其检测状态跳变如图3.3所示。00011101110001s0s2s6s3s5s4s1 图3.3 同步字检测器的状态图(111000)图中s0为初始状态同时也是接受一个或是多个0的状态;s1为接受一个1的状态;s2为接受到两个1的状态;s3为接受到三个1的状态同时也是接受到若干个1的状态;s4为检测到“1110”序列的状态;s5为检测到“11100”的状态;s6为检测到“111000”的状态再接受一个0则跳转至s0。在
14、状态机初始为状态s0接收到1时进入状态s1接收到0则状态不变。状态s1开始对序列检测。当检测状态跳转至s6时,则说明同步字头(111000)检测成功,即开始下一步的解码分析。3.1.3曼彻斯特解码器模块的vhdl实现核心程序段如下:architecture behave of test5 isfunction decode_n(i: std_logic_vector (1 downto 0) return std_logic isvariable s: std_logic;beginif i=01 then s:=1;elsif i=10 thens:=0;elses:=z;end if;re
15、turn s;end decode_n;process (clk,en) beginif rst=0and en=1 then for i in 0 to 16 loop d_outn(i)=decode_n(d_inn(2*i+7 downto 2*i+6); end loop; -解码函数 odd =d_outn(0) xor d_outn(1) xor d_outn(2)xor d_outn(3)xor d_outn(4)xor d_outn(5)xor d_outn(6)xor d_outn(7)xor d_outn(8)xor d_outn(9)xor d_outn(10)xor d
16、_outn(11)xor d_outn(12)xor d_outn(13)xor d_outn(14)xor d_outn(15) xor d_outn(16); odderr=odd; -奇偶为判决 d_out(15 downto 0)=d_outn(15 downto 0); end if; end process;end behave;3.2 曼彻斯特编码器模块3.2.1曼彻斯特编码器设计流程及实现曼彻斯特编码器接收来自编码控制模块(将子系统的数据进行采集分析,并发送)的16位1553b协议数据,同时接收同步字头的生成控制信号。数据经采样后进行数据编码,根据发送的指令字与命令字以及数据的
17、类型,产生相应的同步字头。在数据尾端加入奇偶效验位,以并行数据发送至数据并串转换转换器,后进行并串转换。其设计流程如图3.4所示:开始时钟输入与数据输入包括同步字头命令采集数据序列的读入是否复位生成相应的同步字头对输入的数据进行编码包括奇偶校验为的编码生成奇偶校验位并行输出结束图3.4 曼彻斯特编码器模块的程序流程图 曼彻斯特编码器主要功能:1、同步字的生成:编码器检测数据类型,根据数据的控制端口输入控制同步字的生成。2、奇偶效验位生成:根据输入的16位的数据产生奇偶效验位。3、曼彻斯特编码的生成:将同步字头、编码数据及奇偶效验位储存并发送至并串转换器,以生成编码数据。16位并行数据输入数据寄
18、存奇偶效验位生成数据类型输入同步字生成曼彻斯特码生成串并转换时钟管理输入1553b总线图3.5 曼彻斯特编码器逻辑框图对16位并行数据进行奇偶判定,并根据输入的数据类型信息决定曼码的同步字头,同时对输入信号进行编码,之后一并输出进行通信。3.2.2曼彻斯特编码器模块的vhdl实现核心程序段如下:architecture behav of coder isfunction code_n(i: std_logic) return std_logic_vector isvariable s: std_logic_vector(1 downto 0);beginif i=1 then s:=01;el
19、sif i=0 thens:=10;elses:=zz;end if;return s; -解码函数begin a1:process(d_in,rst)beginif rst=1 thenbuffe0);odd=0;elsefor i in 0 to 15 loopbuffe(2*i+7 downto 2*i+6 )= code_n(d_in(i);end loop;if csw=1 then buffe(5 downto 0)=000111;else buffe(5 downto 0)=111000;end if;odd=0;odd=d_in(0) xor d_in(1) xor d_in(
20、2) xor d_in(3) xor d_in(4) xor d_in(5) xor d_in(6) xor d_in(7) xor d_in(8) xor d_in(9) xor d_in(10) xor d_in(11) xor d_in(12) xor d_in(13) xor d_in(14) xor d_in(15);buffe(39 downto 38)= code_n(odd);ok= buffe(39)xor buffe(38); -奇偶位判决if ok=1 thend_out(39 downto 0)=buffe(39 downto 0);en=1;elsed_out(39
21、downto 0)z);en=0;end if;end if;end process;end behav;开始读入同步字控制位数据序列的读入是否复位生成相应的同步字头调用编码器函数进行编码生成奇偶校验位暂存等待输出结束图3.5.1 进程a1的流程图3.3 曼彻斯特解码采集控制器设计曼彻斯特解码采集控制器主要负责将采集的解码后的数据进行分析处理并暂时储存。分析出所有消息包含的信息进行分类,并发送给cpu或子系统(rt)进行进一步操作。同时可将引脚引出观察用,以达到总线监视器(bm)的功能要求。以曼彻斯特解码采集控制器为例,其原理框图如图3.6 所示。解码后数据输入解码后同步字信息读入ram储存数
22、据处理将已解码的数据及解码后处理分析的相关信息位发经处理分析后的信息位引出引脚(bm观察用)图3.6曼彻斯特解码采集控制器原理框图3.4 曼彻斯特编码采集控制器曼码数据编码控制器主要负责将来自cpu或子系统(rt)的数据及控制信息进行分析处理,同时进行暂时的储存。当分析完毕后将分析的结果中参加编码的部分发送给编码器,进行编码。其余的部分进行公示,以达到总线监视器(bm)的功能要求。其原理框图如图3.7 所示。ram储存数据处理将经过分析和处理后的数据发送给编码器进行编码通讯经处理分析后的信息位引出引脚(bm观察用)从cpu采集数据字从cpu采集控制命令位图3.7曼彻斯特编码采集控制器原理框图4
23、 仿真图形及实验结果4.1曼彻斯特解码器的仿真实验结果4.1.1 同步字检测的仿真实验结果同步字检测器采用状态机设计。测试同步字头“111000”的结果如图4.1所示。图4.1 同步字检测仿真结果波形图中,xi为输入的序列,输出zo为1则表示检测到有效序列“111000”。从上图可看出输入有两个有效序列“111000”的存在,输出zo正确检测到了两次。因此可证明结果正确。4.1.2 曼彻斯特解码器的仿真曼彻斯特解码器的仿真实验结果如图4.2所示。图4.2 曼彻斯特解码器的仿真结果图中,clk为输入的采集时间频率,din为输入的串行数据,d_out为数据的解码输出。odderr为奇偶校验位判别。
24、图中输入的串行序列为“1110001010100101011010101001010110100110”此序列包括起始的同步字头“111000”与末尾的奇偶校验位“10”。经过曼彻斯特解码器将中间的数据解码后为“1110001111000110”,与图中d_out输出(逆序)相符。奇偶校验位发送正确。4.2曼彻斯特编码器的仿真实验结果同步字头的判断引脚接编码控制模块,由控制模块对数据进行预处理,并直接向解码器发送控制命令,以决定同步字头。编码后并行发送数据,利用并串转换,得到串行数据。图4.3 曼彻斯特编码器仿真图clk 为时钟脉冲,csw 由编码控制模块控制。为输入的同步字头控制信号。d_i
25、n、d_out分别为并行的数据输入及编码后的数据输出。rst为复位引脚。en为使能引脚。上图中,d_in输入的数据信号为“0101000111000111”(逆序),经过编码器后,当为命令同步字头时(csw为1)的编码序列为“1110000110011001010110101001010110101001”最后两位为奇偶检验位的0的编码“01”,同步字头为“111000”同样的,当为数据同步字头时(csw为0)的编码显示仅仅与前面的编码序列的同步字头不同,为“000111”其他均相同。由此可证明该编码正确。5 外围硬件电路图5.1外围电路pcb版图图5.2 外围电路实际照片图5.3 外围电路实
26、际照片26 结论本论文设计了一种1553b的总线接口芯片,并且将所设计的芯片利用fpga实现,经过电路的仿真测试表明,设计的芯片可以很好的完成设计预期要求。可以实现数据的正确的接收与发送,并同时进行监视。可以完成总线的通信。mil-std-1553b总线协议对时间的要求很严格,如果时间延迟或者消息处理速率较慢便很容易造成消息错误,所以在设计模块时要先设定好时间管理模块的处理速度和执行效率,并对时间管理进行统一处理。设计之中应该注意以下几点:1.各个模块之间应该尽量使用同步时钟。2.设计中应该尽量使用时钟边沿触发的寄存器,因为电平触发的锁存器无法阻断毛刺的传播,而且消耗资源比较大,容易造成电路的
27、误操作。3.尽量消除输入信号的竟争和冒险,以免寄存器得到中间状态,输出错误结果。4.用状态机进行控制逻辑设计,例如同步字序列检测,此法可方便对复杂控制逻辑的设计和修改,并且节省资源。本论文的设计仅是通过fpga实现了接口逻辑功能,但是在fpga芯片上实现1553b总线接口还需要进行相应的修改并对模块进行再优化,利用更少的资源实现更加优化的接口逻辑,完成更多功能的集成化。参考文献1 department of defense.us.military standard aircraft internal time division command/response multiplex data b
28、us.1978.9.21:l-352 张豫榕,1553b总线原理及其应用.现代电子工程.2004(4):1一33* 王建伟 学位论文 基于fpga的1553b总线接口设计 *4*陕西正鸿航科电子有限公司mil-std-1553b总线介绍入门教程素材*5 *航空电子系统设计中fpga技术的应用 2010-03-18 12:00:09作者:来源:电子技术应用*6zhangxiangrong 1553 b bus principle and its application. modern electronics engineering. 2004 (4) : 1 a 3 7 wangjianwei
29、degree thesis on fpga 1553 b bus interface design 8 shaanxi zhenghonghangke electronic co., ltd mil-std-1553 b bus is introduced how-to tutorials material 原文已完。下文为附加文档,如不需要,下载后可以编辑删除,谢谢!施工组织设计本施工组织设计是本着“一流的质量、一流的工期、科学管理”来进行编制的。编制时,我公司技术发展部、质检科以及项目部经过精心研究、合理组织、充分利用先进工艺,特制定本施工组织设计。一、 工程概况:西夏建材城生活区27#、
30、30#住宅楼位于银川市新市区,橡胶厂对面。本工程由宁夏燕宝房地产开发有限公司开发,银川市规划建筑设计院设计。本工程耐火等级二级,屋面防水等级三级,地震防烈度为8度,设计使用年限50年。本工程建筑面积:27#楼3824.75m2;30#楼3824.75 m2。室内地坪0.00以绝对标高1110.5 m为准,总长27#楼47.28m;30#楼47.28 m。总宽27#楼14.26m;30#楼14.26 m。设计室外地坪至檐口高度18.6 00m,呈长方形布置,东西向,三个单元。本工程设计屋面为坡屋面防水采用防水涂料。外墙水泥砂浆抹面,外刷浅灰色墙漆。内墙面除卫生间200300瓷砖,高到顶外,其余均
31、水泥砂桨罩面,刮二遍腻子;楼梯间内墙采用50厚胶粉聚苯颗粒保温。地面除卫生间200200防滑地砖,楼梯间50厚细石砼1:1水泥砂浆压光外,其余均采用50厚豆石砼毛地面。楼梯间单元门采用楼宇对讲门,卧室门、卫生间门采用木门,进户门采用保温防盗门。本工程窗均采用塑钢单框双玻窗,开启窗均加纱扇。本工程设计为节能型住宅,外墙均贴保温板。本工程设计为砖混结构,共六层。基础采用c30钢筋砼条形基础,上砌mu30毛石基础,砂浆采用m10水泥砂浆。一、二、三、四层墙体采用m10混合砂浆砌筑mu15多孔砖;五层以上采用m7.5混合砂浆砌筑mu15多孔砖。本工程结构中使用主要材料:钢材:i级钢,ii级钢;砼:基础
32、垫层c10,基础底板、地圈梁、基础构造柱均采用c30,其余均c20。本工程设计给水管采用ppr塑料管,热熔连接;排水管采用upvc硬聚氯乙烯管,粘接;给水管道安装除立管及安装ic卡水表的管段明设计外,其余均暗设。本工程设计采暖为钢制高频焊翅片管散热器。本工程设计照明电源采用bv2.5铜芯线,插座电源等采用bv4铜芯线;除客厅为吸顶灯外,其余均采用座灯。二、 施工部署及进度计划1、工期安排本工程合同计划开工日期:2004年8月21日,竣工日期:2005年7月10日,合同工期315天。计划2004年9月15日前完成基础工程,2004年12月30日完成主体结构工程,2005年6月20日完成装修工种,
33、安装工程穿插进行,于2005年7月1日前完成。具体进度计划详见附图1(施工进度计划)。2、施工顺序基础工程工程定位线(验线)挖坑钎探(验坑)砂砾垫层的施工基础砼垫层刷环保沥青 基础放线(预检)砼条形基础刷环保沥青 毛石基础的砌筑构造柱砼地圈梁地沟回填工。结构工程结构定位放线(预检)构造柱钢筋绑扎、定位(隐检)砖墙砌筑(50cm线找平、预检)柱梁、顶板支模(预检)梁板钢筋绑扎(隐检、开盘申请)砼浇筑下一层结构定位放线重复上述施工工序直至顶。内装修工程门窗框安装室内墙面抹灰楼地面门窗安装、油漆五金安装、内部清理通水通电、竣工。外装修工程外装修工程遵循先上后下原则,屋面工程(包括烟道、透气孔、压顶、
34、找平层)结束后,进行大面积装饰,塑钢门窗在装修中逐步插入。三、 施工准备1、 现场道路本工程北靠北京西路,南临规划道路,交通较为方便。场内道路采用级配砂石铺垫,压路机压。2、 机械准备设2台搅拌机,2台水泵。现场设钢筋切断机1台,调直机1台,电焊机2台,1台对焊机。现场设木工锯,木工刨各1台。回填期间设打夯机2台。现场设塔吊2台。3、施工用电施工用电已由建设单位引入现场;根据工程特点,设总配电箱1个,塔吊、搅抖站、搅拌机、切断机、调直机、对焊机、木工棚、楼层用电、生活区各配置配电箱1个;电源均采用三相五线制;各分支均采用钢管埋地;各种机械均设置接零、接地保护。具体配电箱位置详见总施工平面图。3
35、、 施工用水施工用水采用深井水自来水,并砌筑一蓄水池进行蓄水。楼层用水采用钢管焊接给水管,每层留一出水口;给水管不置蓄水池内,由潜水泵进行送水。4、 生活用水生活用水采用自来水。5、 劳动力安排结构期间:瓦工40人;钢筋工15人;木工15人;放线工2人;材料1人;机工4人;电工2人;水暖工2人;架子工8人;电焊工2人;壮工20人。装修期间抹灰工60人;木工4人;油工8人;电工6人;水暖工10人。四、主要施工方法1、施工测量放线施工测量基本要求a、西夏建材城生活区17#、30#住宅楼定位依据:西夏建材城生活区工程总体规划图,北京路、规划道路永久性定位b、根据工程特点及建筑工程施工测量规程dbi0
36、12195,4、3、2条,此工程设置精度等级为二级,测角中误差12,边长相对误差1/15000。c、根据施工组织设计中进度控制测量工作进度,明确对工程服务,对工程进度负责的工作目的。工程定位a、根据工程特点,平面布置和定位原则,设置一横一纵两条主控线即27#楼:(a)轴线和(1)轴线;30#楼:(a)轴线和(1)轴线。根据主轴线设置两条次轴线即27#楼:(h)轴线和(27)轴线;30#楼:(h)轴线和(27)轴线。 b、主、次控轴线定位时均布置引桩,引桩采用木桩,后砌一水泥砂浆砖墩;并将轴线标注在四周永久性建筑物或构造物上,施测完成后报建设单位、监理单位确认后另以妥善保护。c、控轴线沿结构逐层
37、弹在墙上,用以控制楼层定位。d、水准点:建设单位给定准点,建筑物0.00相当于绝对标高1110.500m。基础测量a、在开挖前,基坑根据平面布置,轴线控制桩为基准定出基坑长、宽度,作为拉小线的依据;根据结构要求,条基外侧1100mm为砂砾垫层边,考虑放坡,撒上白灰线,进行开挖。b、在垫层上进行基础定位放线前,以建筑物平面控制线为准,校测建筑物轴线控制桩无误后,再用经纬仪以正倒镜挑直法直接投测各轴线。c、标高由水准点引测至坑底。结构施工测量a、首层放线验收后,主控轴一引至外墙立面上,作为以上务层主轴线竖身高以测的基准。b、施工层放线时,应在结构平面上校投测轴线,闭合后再测设细部尺寸和边线。c、标
38、高竖向传递设置3个标高点,以其平均点引测水平线折平时,尽量将水准仪安置在测点范围内中心位置,进行测设。2、基坑开挖本工种设计地基换工,夯填砂砾垫层1100mm;根据此特点,采用机械大开挖,留200mm厚进行挖工、铲平。开挖时,根据现场实际土质,按规范要求1:0.33放坡,反铲挖掘机挖土。开挖出的土,根据现场实际情况,尽量留足需用的好土,多余土方挖出,避免二次搬运。人工开挖时,由技术员抄平好水平控制小木桩,用方铲铲平。挖掘机挖土应该从上而下施工,禁止采用挖空底脚的操作方法。机械挖土,先发出信号,挖土的时候,挖掘机操作范围内,不许进行其他工作,装土的时候,任何人都不能停留在装土车上。3、砌筑工程材
39、料砖:mu15多孔砖,毛石基础采用mu30毛石。砂浆:0.00以下采用m10水泥砂浆,一、二、三、四层采用m10混合砂浆,五层以上采用m7.5混合砂浆。砌筑要求a、开工前由工长对所管辖班组下发技术交底。b、砌筑前应提前浇水湿润砖块,水率保持在1015。c、砌筑采用满铺满挤“三一砌筑法“,要求灰浆饱满,灰缝812mm。d、外墙转角处应同时砌筑,内外墙交接处必须留斜槎,槎子长度不小于墙体高度的2/3,槎子必须平直、通顺。e、隔墙与墙不同时砌筑又不留成斜槎时可于墙中引出阳槎或在墙的灰缝中预埋拉结筋,每道不少于2根。f、接槎时必须将表面清理干净,浇水湿润,填实砂浆,保持灰缝平直。g、砖墙按图纸要求每5
40、0mm设置26钢筋与构造柱拉结,具体要求见结构总说明。h、施工时需留置临时洞口,其侧边离交接处的墙面不少于500mm,顶部设边梁。4、钢筋工程凡进场钢筋须具备材质证明,原材料须取样试验,经复试合格后方可使用。钢筋绑扎前应仔细对照图纸进行翻样,根据翻样配料,施工前由工长对所管辖班组下发技术交底,准备施工工具,做好施工的准备工作。板中受力钢筋搭接,i级钢30d,ii级钢40d,搭接位置:上部钢筋在跨中1/3范围内,下部钢筋在支座1/3范围内。钢筋保护层:基础40mm,柱、梁30mm,板20mm。保护层采用50mm50mm的水泥砂浆块。板上部钢筋用马凳按梅花状支起。所有钢筋绑扎,须填写隐检记录,质评
41、资料及目检记录,验收合格后方可进行下道工序。5、砼工程水泥进场后须做复试,经复试合格后由试验室下达配合比。施工中严格掌握各种材料的用量,并在搅拌机前进行标识,注明每立方米、每盘用量。同时搅拌时,须车车进磅,做好记录。 浇筑前,对模板内杂物及油污、泥土清理干净。投料顺序:石子水泥砂子。本工程均采用插入式振捣器,一次浇筑厚度不宜超过振捣器作用部分长度的1.25倍,捣实砼的移动间距不宜大于振捣器作用半径的1.5倍。砼浇筑后1昼夜浇水养护,养护期不少于7d,砼强度未达到1.2mpa之前不得上人作业。6、模板工程本工程模板采用钢木混合模板。模板支搭的标高、截面尺寸、平整度、垂直度应达到质量验收标准,以满
42、足其钢度,稳定性要求。模板支撑应牢固可靠,安装进程中须有防倾覆的临时固定措施。本工程选用851脱模剂,每拆除一次模板经清理后涂刷脱模剂,再重新组装,以保证砼的外观质量。6、 架子工程本工程采用双排架子防护,外设立杆距墙2m,里皮距墙50cm,立杆间距1.5m,顺水间距1.2m,间距不大于1m。 架子底部夯实,垫木板,绑扫地杆。为加强架子的稳定性,每七根立杆间设十字盖,斜杆与地面夹角60o。为防止脚平架外倾,与结构采用钢性拉接,拉接点间距附和“垂四平六“的原则。外防护架用闭目式安全网进行封闭,两平网塔接和网下口必须绑孔紧密。结构架子高出作业层1m,每步架子满铺脚手板,要求严密牢固并严禁探头板。7
43、、 装饰工程装饰工程施工前,要组织质监部门、建设、设计、施工单位四方参加的主体结构工程核验收,对已完全体分部工程进行全面检查、发现问题及时处理,清除隐患,并做好装饰前材料、机具及技术准备工作。1、根据预算所需材料数量,提出材料进场日期,在不影响施工用料的原则下,尽量减少施工用地,按照供料计划分期分批组织材料进场。2、将墙面找方垂直线,清理基层,然后冲筋,按照图纸要求,分层找平垂直,阴阳角度方正,然后拉线作灰饼。底子灰应粘结牢固,并用刮杠刮平,木抹子抹平。3、罩面应均匀一致,并应在终凝前刮平压光,上三遍灰抹子。4、油漆、涂料施工:油漆工程施工时,施工环境应清洁干净,待抹灰、楼地面工程全部完工后方
44、可施工,油漆涂刷前被涂物的表面必须干燥、清洁,刷漆时要多刷多理不流坠,达到薄厚均匀,色调一致,表面光亮。墙面涂料基层要求现整,对缝隙微小孔洞,要用腻子找平,并用砂纸磨平。为了使颜色一致,应使用同一配合比的涂料,使用时涂料搅匀,方可涂刷,接槎外留在阴阳角外必须保证涂层均匀一致表面不显刷纹。8、 楼地面工程楼地面工程只作50厚豆石砼垫层。做垫层必须先冲筋后做垫层,其平整度要控制在4mm以内,加强养护45天后,才能进行上层施工。10、层面工程1、屋面保温层及找平层必须符合设计要求,防水采用防水卷材。2、做水泥砂浆找平层表面应平整压光,屋面与女儿墙交接处抹成r150mm圆角。3、本工程屋面材料防水,专
45、业性强,为保证质量,我们请专业人员作防水层。4、原材料在使用前经化验合格后才能使用,不合格材料严禁使用。11、水、暖、电安装工程管道安装应选用合格的产品,并按设计放线,坡度值及坡向应符合图纸和规范要求。水、暖安装前做单项试压,完毕后做通、闭水后试验和打压试验,卫生间闭水试验不少于24小时。电预埋管路宜沿最近线路敷设,应尽量减少弯曲,用线管的弯曲丝接套丝,折扁裂缝焊接,管口应套丝用堵头堵塞。油漆防腐等均符合图纸各施工规范及质量评定标准。 灯具、插座、开关等器具安装,其标高位置应符合设计要求,表面应平直洁净方正。灯具、插座、开关等器具必须选用合格产品,不合格产品严禁使用。做好各种绝缘接地电阻的测试
46、和系统调整记录,检查配线的组序一定要符合设计要求。五、预防质量通病之措施本工程按优质工程进行管理与控制,其优质工程的目标体系与创优质工程的保证措施在本工程施工组织设计中做了详述。本措施不再述。创优质工程除对各分部、分项、工序工程施工中,精心操作,一丝不苟、高标准严要求作业外,关键是防止质量通病。为此,提出防止通病的作业措施如下:1、砖墙砌体组砌方法:、组砌方法:一顺一丁组砌,由于这种方法有较多的丁砖,加强了在墙体厚度方向的连结,砌体的抗压强度要高一些。、重视砖砌体水平灰缝的厚度不均与砂浆饱满度:、水平灰缝不匀:规范规定砖砌体水平灰缝厚度与竖向灰缝宽度一般为10mm,但不应小于8mm,也不应小于
47、12mm。砂浆的作用:一是铺平砖的砌筑表面,二是将块体砖粘接成一个整体。规范中之所以有厚度和宽度要求,是由于灰缝过薄,使砌体产生不均匀受力,影响砌体随载能力。如果灰缝过厚,由于砂浆抗压强度低于压的抗压可度。在荷载作用下,会增大砂浆的横向变形,降低砌体的强度。试验研究表明,当水平灰缝为12mm时,砖砌体的抗压强度极限,仅为10mm厚时的7075,所以要保证水平灰缝厚度在812mm之间。怎样确保水平灰缝的厚度呢?a、皮数杆上,一定将缝厚度标明、标准。b、砌砖时,一定要按皮数杆的分层挂线,将小线接紧,跟线铺灰,跟线砌筑。c、砌浆所用之中砂,一定要过筛,将大于5mm的砂子筛掉。d、要选砖,将过厚的砖剔
48、掉。e、均匀铺灰,务使铺灰之厚度均匀一致。坚持“一块砖、一铲灰、一揉挤“的“三一“砌砖法“。砂浆必须满铺,确保砂浆饱满度。规范规定:多孔砖砌体,水平灰缝的砂浆饱满度不得低于80,这是因为,灰缝的饱满度,对砌体的强度影响很大。比如:根据试验研究,当水平灰缝满足80以上,竖缝饱满度满足60以上时,砌体强度较不饱满时,要提高23倍,怎样保证灰缝饱满度呢?a、支持使用所述的“三一“砌砖法,即“一块砖、一铲灰、一揉挤“。b、水平缝用铺浆法(铺浆长度50cm)砌筑,竖缝用挤浆法砌筑,竖缝还要畏助以加浆法,以使竖向饱满,绝不可用水冲灌浆法。c、砂浆使用时,如有淅水,须作二次拌合后再用。绝不可加水二次拌合。拌
49、好的砂浆,须于3小时之内使用完毕。d、不可以干砖砌筑。淋砖时,一般以15含水率为宜。(约砖块四周浸水15mm左右)。注意砌砖时的拉结筋的留置方法:砖砌体的拉结筋留置方法,按设计要求招待。如设计没有具体规定时,按规范执行。规范规定“拉结筋的数量每12cm厚墙放1根6钢筋,沿墙高每50cm留一组。埋入长度从墙的留槎处算起,每边均100cm,末端应有弯钩”见图。规范还规定:“构造柱与墙连拉处,宜砌成马牙槎,并沿墙高每50cm设26拉结钢筋,每边伸入墙内100cm。2、预防楼梯砼踏步掉角:楼梯踏步浇筑砼后,往往因达不到砼强度要求,就因施工需要提前使用,既便有了足够强度,使用不慎,都会掉楞掉角。而且有了
50、掉角,修补十分困难,且不定期牢固。为此宜采用两种方式予以防治:踏步楞角上,在浇筑砼时增设防护钢筋。踏步拆模时,立即以砂袋将踏步覆盖。(水泥袋或用针织袋装砂)既有利于砼养护,又可保护踏步楞角。3、楼梯弊端的预防:防止踏步不等高:踏步不等高,既不美观,又影响使用。踏步不等高现象,一般发生在最上或最下一步踏步中。产生的原则,一是建筑标高与结构标高不吻合。二是将结构标高误为建筑标高。三是施工粗心,支模有误。为此,浇筑楼梯之间:仔细核查楼梯结构图与建筑图中的标高是否吻合。经查核与细致计算无误后,再制作安装模板。浇筑砼中,往往由于操作与模板细微变形,也会使踏步有稍话误差。这一个误差,要在水泥砂浆罩面时予以
51、调整。为使罩面有标准。在罩面之前,根据平台标高在楼梯侧面墙上弹出一道踏步踏级的标准斜线。罩面抹灰时,便踏步的外阳角恰恰落在这一条斜线上。这样做,罩面完成后,踏步的级高级宽就一致了。如果,施工出现踏步尺寸有较大误差,一定要先行剔凿,并用细石砼或高强度水泥砂浆调整生,再做罩面。4、堵好脚手眼:堵脚手眼做得好坏,直接影响装修质量。一是影响墙面抹灰之脱落、开裂也空鼓;二是洒水可沿已开裂的脚手眼进入室内。因此,堵脚手眼的工作万不可忽视、大意:将脚手眼孔内的砂浆、灰尘凿掉,清除洁净,洒水湿透眼内孔壁。将砖浸水湿透。脚手眼内外同时堵砌,绝不准用干砖堵塞。用“一砖、一铲灰、一挤塞“三一砌砖法堵塞,绝不准用碎块
52、碴堵塞。砂浆必须饱满(最后的一块砖堵完后,用竹片或扁平钢筋将砂浆塞实,刮平,灰缝要均匀、实心实意,不准不刮浆干塞砖块)。5、散水砼变形缝的做法:砼散水的变形缝,常规做法是镶嵌木条,砼浇筑有足够强度后将此木条取出,再灌以沥青砂浆。其缺点是l散水板块相邻高差平整不易保证,木嵌条不可取净,取木条将板块楞角碰坏,不灌沥青砂浆而灌热沥青等。好的做法是:、事先按变形的长短、高度(板块砼厚)的制作厚为20mm的沥青砂浆板条;砼板块浇筑前,第一块板的断缝处支设模块,砼有足够强度(1.2mpa)后,拆除侧模板,将预制沥青砂浆板条贴粘在砼板块侧缝表面,接着浇筑第二块板块砼。集资或跳浇散水板块。(靠墙身处不支模板,
53、直接将沥青砂浆板条粘贴)。当板块砼都有了足够强度后,再用加热后的铁铬子,将缝处沥青砂浆板条予以慰汤,使其缝隙深浅一致,交角平顺。6、卫生间地面漏水的预防:现浇砼楼板:沿房间四周墙上翻150mm。找平层:施工前,清理面层须洁净,并湿润砼楼板表面,之后刷一层tg胶素水泥浆。找坡层用细石砼,并找出排队水坡度,坡向地漏,要平整光洁。上刷冷底油一道。防水层:用一布四涂。但沿四周墙上150mm,遇向口时,伸向口外300mm。粘结层:用1:20水泥砂浆厚20mm,沿墙四周上翻150mm并粉光。注意排水坡度与坡向或做c20细石砼。7、管道根部的渗漏预防:、浇筑钢筋砼楼板,用时准确地将位置、尺寸预留楼板管道孔。或埋设预留套管。、如为预留孔洞时,要预留万不可事后凿孔或扩孔。如为预留套管进,位置一定要准确。套管要焊上止水钢环。、预留孔洞的模盒或套管一棕要与楼板的模板固定防止错位。浇筑砼时派专人看护,以利及时修正。、地面的做法
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025保险公司合同管理规范
- 2025茶叶供需合同范文
- 2025届北京市海淀区高三下学期期中练习历史试题(含答案)
- 二零二五承租房租赁合同书范例
- 二零二五展位装修合同
- 2025版关于个人房屋租赁合同范本标准版
- 2025年天津市房产购买中介服务合同示范文本
- 2025建筑材料供应企业管理人员劳动合同(参考模板)
- 2025年办公楼租赁合同样式
- 2025年返销贸易补偿合同范本
- 2022全国高考真题化学汇编:专题 烃 卤代烃
- GB/T 25742.4-2022机器状态监测与诊断数据处理、通信与表示第4部分:表示
- 特殊感染手术的配合与术后处理
- 萧红《呼兰河传》课件
- 脑血管病介入诊疗并发症及其处理课件
- 机动车驾驶人考试场地及其设施设置规范
- 大学生三生教育主题班会
- 2023年宜昌市中医医院医护人员招聘笔试题库及答案解析
- 内部控制建设课件
- 水塘排水、清淤质量检验记录表
- 上海龙之梦丽晶大酒店客房预订单
评论
0/150
提交评论