VHDL语言的出租车计费器设计毕业设计_第1页
VHDL语言的出租车计费器设计毕业设计_第2页
VHDL语言的出租车计费器设计毕业设计_第3页
VHDL语言的出租车计费器设计毕业设计_第4页
VHDL语言的出租车计费器设计毕业设计_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、vhdl语言的出租车计费器设计1 引 言最近几年出租车行业发展迅速,在全国有几千家出租车公司,因此出租车计费器的市场是庞大的。随着电子科学技术的不断发展,特别是集成电路的迅猛发展,电子设计自动化已经成为主要的设计手段。随着eda技术的大力发展,fpga等数字可编程器件的出现,数字出租车计费器的设计也就变得更加简单,而且性能更稳定、能实现较复杂的功能,且运用eda软件可方便的在计算机上实现设计与仿真。本设计基于vhdl(fpga)语言是电子设计领域中最具活力和发展前途的一项技术,未来必定会取代部分落伍的数字元元件。1.1 课程设计目的随着电子技术的不断发展与进步,集成电路的设计方法也在不断地更新

2、。时至今日,传统的手工设计过程已经被先进的电子设计自动化(eda)工具所代替。只有以硬件描述语言和逻辑综合为基础的子项项下的电路设计方法才能满足日趋复杂的集成电路系统设计需求,才能缩短设计周期以满足设计对集成电路系统日益急迫的需求。在这种情形下,传统的出租车计费器设计方法已不能跟上现在的节奏,以往的出租车计费器在功能上也远不能满足现实的需求。以往的出租车计费器的不稳定性,功能稍等缺点是的大家开始寻求更新的,功能更强大,性能更稳定,价钱更低廉的新型出租车计费器。而大规模可编程逻辑器件的出现,vhdl硬件描述语言的出现,使得这一切成为可能。本设计的研究目标和意义也就是要使用价钱低廉、性能稳定、价钱

3、低廉、可扩性强、适应目前出租车市场需求的出租车计费器,以解决目前出租车计费器存在的一系列问题。1.2课程设计要求1. 能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按2元/公里,当计费器计费达到或超过一定收费(如20元)时,每公里加收50%的车费,车停止不计费。2. 实现预置功能:能预置起步费、每公里收费、车行加费里程。3. 实现模拟功能:能模拟汽车启动、停止、暂停、车速等状态。4. 设计动态扫描电路:将车费显示出来,有两位小数。5. 用vhdl语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。6. 各计数器的计数状态用功能仿真的方法验

4、证,并通过有关波形确认电路设计是否正确。7. 完成电路全部设计后,通过系统实验箱下载验证设计的正确性。 1.3 设计平台max + plus是美国altera 公司的一种eda 软件,用于开发cpld 和fpga 进行数字系统的设计。2 应用工具介绍作为当今最流行的计算机软件系统,eda技术是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。eda可提供文本输入以及图形编辑的方法将设计者的意图用程序或者图形方式表达出来,而我们经常用到的vhdl语言便是用于编写源程序所需的最常见的硬件描述语言(hdl)之一。2.1 eda技术介绍eda是

5、电子设计自动化(electronic design automation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来。eda技术是在电子cad技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计1。eda技术就是以计算机为工具,设计者在eda软件平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射

6、和编程下载等工作。典型的eda工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在eda平台上完成的针对某个系统项目的hdl、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件fpga/cpld相映射的网表文件。 在今天,eda技术已经成为电子设计的普遍工具,无论设计芯片还是设计系统,没有eda工具的支持

7、,都是难以完成的。eda工具已经成为设计师必不可少的武器,起着越来越重要的作用。从目前的eda技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大。eda技术发展迅猛,完全可以用日新月异来描述。eda技术的应用广泛,现在已涉及到各行各业。eda水平不断提高,设计工具趋于完美的地步。2.2vhdl语言介绍电子设计自动化(eda)的关键技术之一是要求用形式化方法来描述数字系统的硬件电路。vhdl 硬件描述语言在电子设计自动化中扮演着重要的角色,他是eda 技术研究的重点之一。硬件描述语言是eda 技术的重要组成部分,vhdl 是作为电子设计主流硬件描述语言,vhdl(very

8、 high speed integrated circuit hardware description language)于1983 年由美国国防部发起创建,由ieee进一步发展并在1987年作为ieee标准10760发布。因此,vhdl成为硬件描述语言的业界标准之一。vhdl作为ieee的工业标准硬件描述语言,得到众多eda公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。vhdl语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性,使用vhdl语言,可以就系统的总体要求出发,自上而下地将设计内容细化,最后完成系

9、统硬件的整体设计。一个完整的vhdl程序包括以下几个基本组成部分:实体(entity),结构体(architecture),程序包(package),库(library)。其中,实体是一个vhdl程序的基本单元,由实体说明和结构体两部分组成,实体说明用于描述设计系统的外部接口信号;结构体用于描述系统的行为,系统数据的流程或系统组织结构形式。程序包存放各设计模块能共享的数据类型,常数,子程序等。库用于存放已编译的实体,机构体,程序包及配置。vhdl 语言的编译环境有不同的版本,我们应用的是altera 公司的maxplus 软件,它的操作顺序如下:使用texteditor 编写vhdl 程序使用

10、compiler 编译vhdl 程序;使用wave2formeditor,simularot 仿真实验;使用timinganaltzer 进行芯片的时序分析;用floorplaneditor 锁定芯片管脚位置;使用programmer 将编译好的vhdl 程序下载到芯片中。vhdl 进行工程设计的优点是显而易见的。1与其他的硬件描述语言相比,vhdl 具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。2vhdl 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。3vhdl 语句的行为描述能力和程序结构决定了他具有支持

11、大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效、高速地完成必须有多人甚至多个代发组共同并行工作才能实现。4对于用vhdl 完成的一个确定的设计,可以利用eda 工具进行逻辑综合和优化,并自动的将vhdl 描述设计转变成门级网表。5vhdl 对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。6用vhdl 语言编写的源程序便于文档管理,用源代码描述来进行复杂控制逻辑的设计,既灵活方便,又便于设计结果的交流、保存和重用。3 设计原理车速控制模块计费动态显示里程动态显示 车速选择 起/停开关 基本速率 reset 扫描

12、时钟 显示输出 显示输出图3.1 系统顶层框图计费器按里程收费,每100米开始一次计费。各模块功能如下:(1) 车速控制模块当起停键为启动状态时(高电平),模块根据车速选择和基本车速发出响应频率的脉冲驱动计费器和里程显示模块进行计数;当处于停止状态时暂停发出脉冲,此时计费器和里程显示模块相应的停止计数。(2) 里程动态显示模块其包括计数车速控制模块发出的脉冲以及将计数显示动态显示出来,每来一个脉冲里程值加0.1(控制器每发一个脉冲代表运行了0.1公里)。(3) 计费动态显示模块其初值为10元,当里程超过3公里后才接受计数车速控制模块发出的脉冲的驱动,并且计数显示动态显示出来,每来一个脉冲(代表

13、运行了0.5公里)其数值加1元,当收费超过20时数值加1.5元。4 设计步骤vhdl设计流程图(如图4.0):vhdl文本编辑vhdl文本编辑fpga/cpld适配器fpga/cpld编辑下载器vhdl仿真器fpga/cpld器件和电路系统时序与功能仿真器图4.0 vhdl设计流程图4.1程序设计图4.1系统的总体模块图(1)模块ms的实现(如图4.1.1所示)图4.1.1 模块ms图模块ms,输入端口ck0、ck1为两个不同的时钟信号,来模拟汽车的加速和匀速,js加速按键。(2)模块sout的实现(如图4.1.2所示) 图4.1.2 模块sout图该模块实现车行状态输出功能,其中clk为时钟

14、信号,enable 为启动使能信号,sto暂停信号, clr为清零信号,st为状态信号。(3)模块pulse的实现(如图4.1.3所示)图4.1.3 模块pulse图该模块实现将时钟信号5分频功能。(4)模块counter的结果验证(如图4.1.4所示)图4.1.4 模块counter图实现汽车模拟计费功能。clr1为清零信号,si为状态信号,c1,c2,c3分别为费用的三为显示。(5)模块scan_led的实现(如图4.1.5所示)图4.1.5 模块scan_led图该模块实现显示车费功能。bt为选位信号,sg译码信号4.2系统仿真:系统仿真是在实际系统上进行实验研究比较困难时适用的必不可少

15、的工具,它是指通过系统模型实验去研究一个已经存在或正在设计的系统的过程,通俗地讲,就是进行模型实验。因而,系统仿真的结果决定整个课程设计任务完成的到位程度。程序输入完成后进行编译,编译完成后,可以对所进行的设计进行仿真,本课程设计的仿真平台是max+plus,通过对vhdl源程序进行编译检错,然后创建波形文件(后缀名为.scf),加入输入输出变量,选择适用的芯片以及设定仿真结束时间,设置好输入初值进行仿真,得到仿真波形图:1.模块ms的结果验证(如图4.2.1)图4.2.1当js为高电平,clk_out按照clk1输出;低电平时,按照clk0输出2模块sout的结果验证(如图4.2.2)ena

16、ble高电平时,每一个时钟上升沿时,cqi计数加1,若cqi=30时,state赋01,30cqi=80时,state赋10态,.;enable低电平时,cqi计数暂停,保持不变图4.2.23模块pulse的结果验证(如图4.2.3)每个clk0上升沿时,cnt计数加1,加到4时在下一个时钟上升沿赋值0;cnt不为0时fout赋值高电平,否则低电平图4.2.34模块counter的结果验证(如图4.2.4) si为出租车状态信号:“00”表示计费值停止,q1q3不变;“01”计费清零,设置为起步价10元,q2=1,q3=0,q1=0;“10” 正常计费,每公里1元,“11”超过20元后,每公里

17、1.5元;q1,q2,q3的信号分别赋值给c1,c2,c3图4.2.45模块scan_led的结果验证(如图4.2.5)bt位选,sg译码对应数字09图4.2.56模块taxi的结果验证(如图4.2.6)图4.2.64.3 结果分析出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂时停止不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始。出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂时停止不计费,车

18、费保持不变。若停止则车费清零,等待下一次计费的开始。各模块完成后,在将它们组合成完整的出租车系统,在设计过程中还需要改进的是控制系统的纠错功能。出租车计费系统的设计中体现了覆盖面广,描述能力强,是一个多层次的硬件描述语言及pld器件速度快,使用方便,便于修改等特点,本设计在实用方面具有一定的价值。5 结束语课程设计是我们专业课程知识综合应用的实践训练,着是我们迈向社会,从事职业工作前一个必不少的过程”千里之行始于足下”,通过这次课程设计,我深深体会到这句千古名言的真正含义我今天认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会大潮中奔跑打下坚实的基础。通过这次实验使我收获很多

19、,对书本理论知识有了进一步加深,初步掌握了maxplusii软件的一些设计使用方法。对一些器件的使用方法了解更深刻了,如一些器件的使能端的作用等。主要有以下一些实验感想1、 应该对实验原理有深刻理解;2、 做实验必须不急不躁,不能看见其他同学做的快就沉不住气了;3、 熟练掌握其他软件是必要的,如matlab软件、excel、word等;4、 必须学会自己调试电路,一般第一次设计出的电路都会通不过编译的,所以要学会调试电路,而不是等老师解答或同学帮助;致 谢 经过三周的奋战我的课程设计终于完成了。在没有做课程设计以前觉得课程设计只是对这半年来所学知识的单纯总结,但是通过这次做课程设计发现自己的看

20、法有点太片面。课程设计不仅是对前面所学知识的一种检验,而且也是对自己能力的一种提高。在这次课程设计中也使我们的同学关系更进一步了,同学之间互相帮助,有什么不懂的大家在一起商量,听听不同的看法对我们更好的理解知识,所以在这里非常感谢帮助我的同学。在此要感谢我们的指导老师陈老师对我们悉心的指导,感谢老师们给我们的帮助。在设计过程中,我通过查阅大量有关资料,与同学交流经验和自学,并向老师请教等方式,使自己学到了不少知识,也经历了不少艰辛,收获颇丰。参考文献1曹昕燕,周凤臣,聂春燕.eda技术实验与课程设计【m】.清华大学出版社2刘欲晓,方强,黄宛宁.eda技术与vhdl电路开发应用实践【m】.电子工

21、业出版社3潘松,黄继业.eda技术实用教程(第三版)【m】.科学出版社4 赵岩岭,刘春等.在max+plusii平台下用vhdl进行数字电路设计.西安:希典出版社,20055 康华光主编.电子技术基础模拟部分.北京:高等教育出版社,20066 阎石主编.数字电子技术基础.北京:高等教育出版社,2003 附录1:模块ms清单/ 程序名称:ms/ 程序功能:模块ms,输入端口ck0、ck1为两个不同的时钟信号,来模拟汽车的加速和匀速,js加速按键。/ 程序作者:金人佼/ 最后修改日期:2010.12.31library ieee;use ieee.std_logic_1164.all;entity

22、 ms is port(ck0:in std_logic; /慢速档的时钟信号 ck1:in std_logic; /快速档的时钟信号 js:in std_logic; /换挡按键信号 clk_out:out std_logic);end ms;architecture one of ms isbegin process(js, ck0,ck1) begin if js=0 then clk_out=ck0; /js低电平,则为慢速档 else clk_out0); /clr低电平,cqi清零 elsif clkevent and clk=1 then /clk上升沿触发 if sto=1 t

23、hen state:=00;cqi:=cqi; /sto高电平时,state赋00态 elsif enable =1 then /enable高电平,cqi计数加1 cqi:=cqi+1; if cqi=30 then state:=01; /cqi30 and cqi=80 then state:=10; /30cqi80时,state赋11态 end if; end if; end if;st=state;end process;end one;附录3:模块pulse/ 程序名称:pulse/ 程序功能:该模块实现将时钟信号5分频功能。/ 程序作者:金人佼/ 最后修改日期:2010.12.

24、31library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pulse is port(clk0:in std_logic; fout:out std_logic);end pulse;architecture one of pulse isbegin process(clk0) variable cnt:std_logic_vector(2 downto 0); variable full :std_logic; begin if clk0event and clk0=1 then if

25、cnt=100 then /cnt计数到5(“100”) cnt:=000 ; /cnt清零 full:=1; /full高电平 else cnt:=cnt+1; /否则计数cnt加1 full:=0; /full赋低电平 end if; end if;foutq1:=q1;q2:=q2;q3:=q3; when 01= q1:=0000;q2:=0000;q3:=0001; /起步价10元 when 10= if q21001 then q2:=q2+1; else q2:=0000; if q3 if q10101 then q1:=q1+5; else q1:=0000; end if;

26、 if q1=0101 then if q21001 then q2:=q2+1; else q2:=0000; if q31001 then q3:=q3+1; end if; end if; else if q21001 then q2:=q2+2; else q2:=0001; if q3null; end case;end if;c1=q1;c2=q2;c3=q3;end process;end one;附录5:模块scan_led的实现/ 程序名称:scan_led/ 程序功能:该模块实现显示车费功能。bt为选位信号,sg译码信号。/ 程序作者:金人佼/ 最后修改日期:2010.12

27、.31library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity scan_led is port(di1:in std_logic_vector(3 downto 0); di2:in std_logic_vector(3 downto 0); di3:in std_logic_vector(3 downto 0); clk2:in std_logic; sg:out std_logic_vector(6 downto 0); bt:out std_logic_vector(2 downto

28、0);end scan_led;architecture one of scan_led is signal cnt4 :std_logic_vector(1 downto 0);signal a:std_logic_vector(3 downto 0);beginp1:process(clk2)variable sq :std_logic_vector(1 downto 0); begin if clk2event and clk2=1 then if sq=10 then sq:=00; /位选信号,1-3位循环 else sq:=sq+1; end if; end if; cnt4bt=

29、001;abt=010;abt=100;abt=100;anull; end case;end process p2; p3:process(a) begin case a is /根据a的值,显示09 when 0000=sgsgsgsgsgsgsgsgsgsgnull; end case;end process p3;end one ;附录6:模块taxi/ 程序名称:taxi/ 程序功能:该模块为最终的顶层模块。/ 程序作者:金人佼/ 最后修改日期:2010.12.31library ieee;library ieee;use ieee.std_logic_1164.all;entity

30、 taxi is port(t_clk0:in std_logic; t_clk1:in std_logic; t_clk2:in std_logic; t_js :in std_logic; t_enable:in std_logic; t_clr:in std_logic; t_sto:in std_logic; t_bt: out std_logic_vector(2 downto 0); t_sg: out std_logic_vector(6 downto 0);end taxi;architecture struc of taxi is /顶层模块设计component mspor

31、t(ck0:in std_logic; ck1:in std_logic; js:in std_logic; clk_out:out std_logic);end component;component soutport(clk:in std_logic; enable:in std_logic; sto :in std_logic; clr:in std_logic; st:out std_logic_vector(1 downto 0);end component;component pulseport(clk0:in std_logic; fout:out std_logic);end component;compone

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论