eda课程设计-彩灯控制器_第1页
eda课程设计-彩灯控制器_第2页
eda课程设计-彩灯控制器_第3页
eda课程设计-彩灯控制器_第4页
eda课程设计-彩灯控制器_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1. 设计目的学习EDA开发软件和MAX+plus U的使用方法,熟悉可编程逻辑器件的使 用,通过制作来了解彩灯控制系统。2. 设计题目描述和要求1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显 示形式;2)随着彩灯显示图案的变化,发出不同的音响声。3)扩充其它功能。3. 设计原理3.1方案论证这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、 彩灯控制器。其中彩灯控制器是用来输出不同的花样, 彩灯控制器的输出则是用 一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了 一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输

2、 出则是用一个4选一的选择器来控制。基于上述的介绍本次的彩灯控制采用的模 式6来进行显示。资料图3-1-1模式6结构图3.2模块设计1)集成分频器模块设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。模块说明:Rst:输入信号 复位信号 用来复位集成分频器的输出使输出为“ 0”,及没有 音乐输出。Clk:输入信号 模块的功能即为分频输入的频率信号。Clk_4、clk_6、clk_8、clk_10 :输出信号 即为分频模块对输入信号 clk的 分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。图3-2-1集成分频器2)32进

3、制计数器模块32进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出Rst:输入信号复位信号用来复位32进制使其输出为“ 00000”。Clk:输入信号用来给模块提供工作频率。Count_out4.0:输出信号 即为32进制计数器的输出。图3-2-2 32进制计数器3)彩灯控制模块彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样Rst:输入信号 使彩灯控制模块的输出为“ 00000000 ”,即让彩灯无输出。Input4.0:输入信号 不同的输入使彩灯控制模块有不同的输出即彩灯显示 出不同的花样。Output7.0:输出信号直接与彩灯相连来控制彩灯。图3-2-3彩灯控制模块4)

4、 4选1选择器模块Rst :输入信号复位信号使选择器的输出为“ 0 ”。Ini、in2、in3、in4 :输入信号 接分频器的输出。Inp1.0:输入信号 接4进制计数器的输出用来控制选择器的选择不同的输入选择不同的输出。Output :输出信号 直接接扬声器即输出的是不同的频率来控制扬声器播放音乐。图3-2-4 4选1选择器5)4进制计数器模块4进制计数器作为选择器的输入来控制选择器选择不同的频率作为输出控 制扬声器工作。Clk:输入信号来为计数器提供工作频率。Rst:输入信号复位信号使计数器的输出为“ 00”。图3-2-5 4进制计数器3.3系统结构整个系统就是各个分模块组成来实现最后的彩

5、灯控制功能,系统又两个时钟来控制一个是控制32进制计数器即控制彩灯控制模块来实现彩灯的不同输出, 另一个时钟为分频器的输入来进行分频处理, 最后用来控制扬声器发出不同的音 乐,具体分频处理的时钟的频率比实现彩灯控制的时钟频率要高。图3-3-1系统功能模块4.总结这次的EDA课程设计有一周的时间,在这一周的时间里我们充分合理的安排了自己的时间来使本次的课程设计能够顺利的完成,当然我们在本次的设计中 并不是一帆风顺的,我们遇到了一些的问题,例如我们开始时用的文本的方式用 一个总的程序来完成,可以在设计的过程中我们发现程序编到后面变量越到很容 易搞混淆同时各个进程间的联系也越来越模糊以至于后面我们自

6、己都不知道程 序的整体框图是什么,导致后面不能够继续下去,后面我们再一次对我们这次的 设计题目进行了分析和整理,最后我和我的同伴决定采用分模块的方式来完成本 次的课题设计,当然最重要的是分析各个模块间的关系。 最后我们采用上面分析 的结构框图。最后我们的设计很成功,仿真和硬件测试都是正确的,实现了我们 的设计要求和目的。在这次设计中我们收获了很多,首先最直接的收获就是我们巩固了这门课程 所学过的知识,把它运用到了实践当中,并且学到了很多在书本撒和那个所没有 学到的知识,通过查阅相关资料进一步加深了对 EDA的了。总的来说,通过这 次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际

7、相结合 的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相结合起来, 才能提高自己的实际动手能力和独立思考的能力。 在我们的共同努力和指导老师 的指引下我们圆满的完成了彩灯控制器的设计,实现了设计目的。6附录一程序:分频器模块资料LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY fen pi nqi ISPORT(:OUT stdogicclk,rst : IN std_logic;clk_10,clk_4,clk_6,clk_8);END fen pi nqi;ARCHITECTURE cd OF fenpinqi ISbeg inp

8、1:process(clk,rst)variable a:i nteger range 0 to 20;beg inif rst=1 the nelseif clkeve nt and clk=1thenif a=3 the na:=0;clk_4=1;elsea:=a+1;clk_4=0;end if;end if;end if;end process p1;p2:process(clk,rst)variable b:i nteger range 0 to 20;beg inif rst=1 the nclk_6=5 the nb:=0;clk_6=1;elseb:=b+1;clk_6=0;

9、end if;end if;end if;end process p2;p3:process(clk,rst)variable c:i nteger range 0 to 20;beg inif rst=1 the nclk_8=7 the nc:=0;elseclk_9=1;资料c:=c+1;clk_8=0;end if;end if;end if;end process p3;p4:process(clk,rst)variable d:i nteger range 0 to 20;beg inif rst=1 the nclk_10=9 the nd:=0;clk_10=1;elsed:=

10、d+1;end if;clk_11=0;资料end if;end if;end process p4;end cd;4 选1选择器LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY xzq4_1 ISPORT(rst: in stdo gic;in p:i n in teger range 0 to 3;in 1,i n2,i n3,i n4: In std_logic;output : OUT stdo gic);END xzq4_1;ARCHITECTURE a OF xzq4_1 ISBEGINPROCESS (rst, inp)BEGINif

11、(rst=1) then outputoutputoutputoutputoutputnu II;end case;end if;END PROCESS;END a;彩灯控制模块LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY caide ng ISPORT(in put: IN INTEGER RANGE 0 TO 31;rst: in stdo gic;output : OUT stdo gic_vector(7 dow nto 0);sm :out stdo gic_vector(6 dow nto 0);END caide ng;ARCHI

12、TECTURE a OF caide ng ISBEGINPROCESS (i nput)BEGINif rst=1 then output=00000000;smoutput=10000000;smoutput=01000000;smoutput=00100000;smoutput=00010000;smoutput=00001000;smoutput=00000100;smoutput=00000010;smoutput=00000001;smoutput=00010000;smoutput=00110000;smoutput=00111000;smoutput=01111000;smou

13、tput=01111100;smoutput=01111110;smoutput=11111110;smoutput=11111111;smoutput=10000001;smoutput=11000001;smoutput=11000011;smoutput=11100011;smoutput=11100111;smoutput=11110111;smoutput=11111111;smoutput=00001000;smoutput=00000001;smoutput=00000010;smoutput=00000100;smoutput=00001000;smoutput=0001000

14、0;smoutput=00100000;smoutput=01000000;smoutput=10000000;smn ull;end case;end if;end process;end a;32 进制计数器模块LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY cou nter_32 ISPORT(clk,rst : IN std_logic;coun t_out: OUT in teger range 0 to 31);END cou nter_32;ARCHITECTURE a OF coun ter_32 ISBEGINPROCESS (

15、rst,clk)variable temp:i nteger range 0 to 32;BEGINIF rst=1 THENtemp:=O;ELSIF (clkeve nt and clk=1) THENtemp:=temp+1;if(temp=32) thentemp:=0;end if;END IF;coun t_out=temp;END PROCESS;END a;4 进制计数器模块LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY cou nter_4 ISPORT(clk,rst : IN std_logic;coun t_out: OUT in teger range 0 to 3);END cou nter_4;ARCHITECTURE a

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论