电子设计自动化实验指导书2013_第1页
电子设计自动化实验指导书2013_第2页
电子设计自动化实验指导书2013_第3页
电子设计自动化实验指导书2013_第4页
电子设计自动化实验指导书2013_第5页
已阅读5页,还剩21页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 目 录实验一 EDA环境基本操作2实验二 Multisim环境下的信号放大电路仿真实验(一)5实验三 Multisim环境下的信号放大电路仿真实验(二)9实验四 PROTEUS环境下模拟电路仿真实验11实验五 PROTEUS环境下单片机控制LED仿真实验14实验六 QuartusII环境下数控分频器的设计16实验七 QuartusII环境下数控分频器的仿真20实验八 System view系统仿真实验25实验一 EDA环境基本操作一、实验目的l、认识并熟悉电子设计自动化的软件环境。2、了解常用EDA软件的运行方法及界面菜单窗口等内容。二实验原理电子设计已经倾向于使用基于电子计算机的自动化设计

2、工具。三实验内容认识和实际操作各种电子设计自动化软件。四实验步骤1、进入windows操作系统,找到Electronics Workbench程序组并打开Multisim7。(1)依次点击“开始”>“程序”>“Electronics Workbench”>“Multisim7”;或直接点击桌面上“Multisim7”图标,可打开Multisim7。(2)打开Multisim7后可以看到如下界面,熟悉图中标示的各要素。电原理图输入窗口虚拟工具栏元件工具栏图形注释工具栏菜单栏标准工具栏仿真开关仪器工具栏(3)尝试将课本第10页图2.3原理图输入。2、进入windows操作系统,找

3、到Labcenter Electronics程序组并打开Proteus7.2。(1)依次点击“开始”>“程序”>“Labcenter Electronics”>“Proteus7.5”;或直接点击桌面上“Proteus7”图标,或直接运行“D: Proteus 7.5BINISIS.exe”可打开Proteus7.5。(2)打开Proteus后可以看到如下界面,熟悉图中标示的各要素。(3) 将书本上P128的例4.4的原理图及程序按要求输入并进行仿真。3、进入windows操作系统,找到Altera程序组并打开QuartusII7.0。(1)依次点击“开始”>“程序”&

4、gt;“Altera”>“QuartusII7.0”;或直接点击桌面上“QuartusII7.0”图标,可打开QuartusII7.0。(2)打开QuartusII7.0后可以看到如下界面,熟悉软件的各部分。4、进入windows操作系统,找到ELANIX程序组并打开SYSTEM VIEW。(1)依次点击“开始”>“程序”>“ELANIX”>“SYSTEM VIEW”;或直接点击桌面上“SYSTEM VIEW”图标,可打开SYSTEM VIEW。(2)打开SYSTEM VIEW后可以看到如下界面,熟悉图中标示的各要素。五、实验报告要求1 写出详细实验步骤;2 谈谈对各电

5、子设计自动化软件的认识,各软件的作用。实验二 Multisim环境下的信号放大电路仿真实验(一)一实验目的1、复习巩固三极管小信号放大电路。2、掌握Multisim环境中模拟电路设计的方法。3、掌握Multisim环境中模拟电路仿真方法。二实验原理利用Windows操作系统环境下的Multisim7软件平台进行电路仿真。三、实验内容设计一个三极管小信号放大电路并仿真。四、实验步骤1静态工作点的测试与调整仿真电路如图2所示,依次调节Rw的百分比,记录各电压、电流表的值,对应填入表1中,并计算ICIB值。可以得出结论:(1)调节RW可改变UB电位,因而改变了三极管IB,UBE的大小。不同的工作状态

6、,电流放大倍数ICIB不相等。(2)在三极管的放大区(RW取10,15,20时)ICIB值较大;而在截止区或饱和区ICIB值较小,且在饱和区(RW取0,1)UCE值接近0,在截止区(RW取95,100)UCE值接近直流电源的电压,甚至等于直流电源的电压。2测试电压放大倍数仿真电路如图3所示,设置信号源输入信号的幅度为US100 mV,频率为1 000 Hz。用示波器测量输入、输出波形如图4所示,此时输出端波形不失真。按表2所列测试条件测试Ui,Uo的值,并计算KUoUi。仿真结果得出结论:当三极管放大电路的元件参数不改变时,电路的电压放大倍数基本不变。3静态工作点对输出波形的影响删除图3的数字

7、万用表XMM1,XMM2,在三极管T的集电极串联数字万用表XMM1,测量IC;在三极管T的C极与地之间并联数字万用表XMM2,测量UC。设置US100 mV,f1000 Hz,调节RW分别为3,10,70,仿真波形如图5所示,分别为饱和失真、不失真放大、截止失真。把IC,UC读数填入表3。仿真结果得出结论:改变基极偏置电阻RW,静态工作点电流IC,电压UC随之变化,从而导致三极管工作区域变化。偏置电阻RW、电流IC、电压UC各值适中,三极管工作在放大区;若偏置电阻RW小,电流IC过大,电压UC偏小,三极管工作在饱和区;反之,三极管工作在截止区。五、实验报告要求1 写出详细实验步骤;2 画出电路

8、原理图;3 记录实验数据及结果。实验三 Multisim环境下的信号放大电路仿真实验(二)一实验目的1、复习巩固三极管小信号放大电路。2、掌握Multisim环境中模拟电路设计的方法。3、掌握Multisim环境中模拟电路仿真方法。二实验原理利用Windows操作系统环境下的Multisim7软件平台进行电路仿真。三、实验内容使用实验二的三极管小信号放大电路,对电路进行进一步仿真。四、实验步骤1 测量输入电阻把实验二图3的示波器和XMM2删除,保留XMM1,并在R1与C1之间串联一个数字万用表,测量Ii,XMM1测量Ui。调节RW为70,打开仿真开关,测得输入电压Ui63444 mV,输入电流

9、Ii0007 mA,计算RiUiIi634440007906 k。结论:共射放大电路的输入电阻较大。 2测量输出电阻把实验二图3的示波器和XMM1删除,同时也删除信号源,用导线短接,再删除RL和J1,用信号源代替RL。信号源设置为US1 V,f1 000 Hz,在C2的负端串联一个数字万用表,测量IO,数字万用表XMM2测量UO。调节RW为70, 打开仿真开关,测得输出电压UO707 mV,输出电流IO0296 mA,计算ROUOIO7071070296239 k。结论:共射放大电路的输出电阻也较大。3测试幅频特性把实验二图3中的示波器、XMM1及XMM2删除,将波特图仪中的In接到电路的输入

10、端,Out接到电路的输出端,In和Out接地,打开仿真开关,用鼠标双击波特图仪,得如图6所示的幅频特性。测出上限频率fH2291MHz,下限频率fL57544 Hz。结论:共射放大电路的通频带较宽。五、实验报告要求1 写出详细实验步骤;2 画出电路原理图;3 记录实验数据及结果。实验四 PROTEUS环境下模拟电路仿真实验一实验目的1、掌握PROTEUS环境下原理图的绘制及模拟电路的仿真;2、复习巩固模拟电路无稳态振荡电路;3、掌握PROTEUS环境下虚拟仪器及测试探针的使用。二实验原理利用Windows操作系统环境下的PROTEUS软件平台进行无稳态振荡电路设计输入并仿真。无稳态振荡器(as

11、table multivibrator)亦称自激多谐振荡器。电路中,施加电源VCC后,晶体管T1和T2在电容的作用下,反复导通、截至,产生持续震荡。导通或截至的状态决定于电流的平衡。对于无外部触发状态保持不变的称为稳态,而对于经过一定时间,状态自动从导通变为截至或从截至回到导通的,则称为暂稳态。两个放大电路通过C1、C2和电阻RB1、RB2实现交流耦合,电路无稳态,两个暂稳态。按照电路时间常数所确定的周期,交互变化产生持续震荡。震荡周期Ts为:T=0.69(R3C2+R2C1)。三、实验内容在PROTEUS软件平台上设计输入如图1的无稳态振荡电路并仿真。图1 无稳态振荡电路四、实验步骤1 建立

12、电路通过在Proteus软件中选取器件和编辑输入,建立图1所示的无稳态振荡电路。其中T1,T2可选取任何NPN型三极管,如BC108,C1、C2电容可选取100uF左右的电解电容,Rb1、Rb2可选取15K左右的电阻,Rc1、Rc2可选用内阻100欧左右的小灯泡直观显示振荡的状态,电源VCC可选取6V左右的直流电源,灯泡的耐压值应与电源一致,二极管D1、D2可省略。建立无稳态振荡电路后的效果图如图2。(也可打开示例中的OSC2)图2 Proteus中建立的无稳态振荡电路2仿真观察点击Proteus界面左下角仿真运行按钮,对所建立的无稳态振荡电路进行仿真并观察振荡现象。3虚拟仪器测量使用虚拟电压

13、表和虚拟电流表分别测量T1的CE结间电压和E极电流,如图3所示。4测试探针测量有时使用测试探针比使用虚拟仪器更方便,使用测试探针分别测量T2的CE结间电压和E极电流,如图4所示。但要注意设置中System-Set Animation Options中要打开“Show Voltage & Current on Probes”。图3 Proteus中使用虚拟仪器测量图4 Proteus中使用测试探针测量五、实验报告要求1 写出详细实验步骤;2 画出电路原理图;3 记录实验数据及结果。实验五 PROTEUS环境下单片机控制LED仿真实验一实验目的1、了解单片机工作原理;2、掌握单片机程序的编

14、写;3、掌握PROTEUS环境下原理图的绘制及数字电路的仿真。二实验原理利用Windows操作系统环境下的PROTEUS软件平台进行电路设计和仿真。三、实验内容在PROTEUS软件平台上设计单片机控制的LED灯设计和仿真。四实验步骤1打开PROTEUS仿真环境,在PROTEUS仿真环境中调入单片机、电阻器、LED,按键或拨码开关等器件,对元器件参数进行相应设置,并进行连线等工作,完成LED显示控制电路原理图的绘制,如图1。图1 LED显示控制电路原理图2在PROTEUS自带的程序编辑器或第三方程序编辑器KEIL中编写控制程序,ASM代码如下:org 0000hajmp mainorg 0050

15、hmain:mov p1,p0 acall del ajmp maindel: mov r7,#03hdel2:djnz r7,del2retend3将控制程序编译为可执行代码,并在PROTEUS原理图对应单片机的设置中调入代码。4执行仿真,观察硬件连接和软件程序是否满足设计要求,若不满足,修改设计并调试,调试时使用各种虚拟仪器进行辅助。五、实验报告要求1 写出详细实验步骤;2 画出电路原理图;3 叙述你在PROTEUS环境中进行单片机仿真的心得体会。实验六 QuartusII环境下数控分频器的设计一实验目的1、 学习数控分频器的设计、分析和测试方法。2、 了解和掌握分频电路实现的方法。3、

16、掌握EDA技术的层次化设计方法。二实验原理利用Windows操作系统下的QuartusII软件平台进行分频器设计。三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入八位的拨动开关输入不同的数据,改变分频比,使输出端口输出不同频率的时钟信号,达到数控分频的效果。在实验中时,数字时钟选择1KHZ作为输入的时钟信号(频率过高观察不到LED的闪烁快慢),用八个拨动开关作为数据的输入,当八个拨动开关置为一个二进制数时,在输出端口输出对应频率的时钟信号。四实验步骤1、 打开QUARTUSII软件,新建一个工程。2、 建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。3、 按

17、照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序(可参考示例程序),编写完VHDL程序后,保存起来。4、 对自己编写的VHDL程序进行编译,对程序的错误进行修改。编译方法如下:QUARTUSII编译器窗口包含了对设计文件处理的全过程。在QUARTUSII软件中选择Processing>Compiler Tool菜单项,则出现QUARTUSII的编译器窗口,如图1所示,图中标明了全编译过程各个模块的功能。需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。当完成上述窗口的设定后,点击START按钮进行设计文件的全编译。如果文件有错,在软件的下

18、方则会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。整个编译完成,软件会提示编译成功,如图2所示。图1 QUARTUSII编译器窗口图2 全编译成功界面五、实验报告要求1 写出详细实验步骤;2 描述分频器的原理;3 总结设计心得。附: 示例程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-entity exp4 is port( inclk: in std_logic; -定义时钟信号 data: in std_logic

19、_vector(7 downto 0); -输入控制的数据 fout : out std_logic -分频输出 ); end exp4;-architecture behave of exp4 issignal full :std_logic; begin process(inclk) variable cdount1 : std_logic_vector(7 downto 0); begin if inclk'event and inclk='1' then-检测时钟上升沿 if cdount1="11111111" then cdount1:=

20、data; full<='1' else cdount1:=cdount1+1; full<='0' end if; end if; end process;process(full) variable cdount2 : std_logic; begin if full'event and full='1' then-检测时钟上升沿 cdount2:= not cdount2; if cdount2='1' then fout<='1' else fout<='0'

21、 end if; end if; end process;end behave;实验七 QuartusII环境下数控分频器的仿真一实验目的1、 学习数控分频器的设计、分析和测试方法。2、 掌握QuartusII环境下EDA设计的仿真。二实验原理利用Windows操作系统下的QuartusII软件平台进行分频器仿真。三、实验内容本实验要求完成的任务是在实验六的基础上进行EDA仿真,得出仿真结果。四实验步骤对自己编写的VHDL程序进行仿真。1、 创建一个仿真波形文件,选择QUARTUSII软件File>New,进行新建文件对话框。如图1所示。选取对话框的Other File标签页,从中选取V

22、ector Waveform File,点击OK按钮,则打开了一个空的波形编辑器窗口,如图2所示。2、 设置仿真结束时间,波形编辑器默认的仿真结束时间为1µS,根据仿真需要,可以自由设置仿真的结束时间。选择QUARTUSII软件的Edit>End Time命令,弹出线路束时间对话框,在Time框办输入仿真结束时间,点击OK按钮完成设置。图1 新建文件对话框 图2 波形编辑器3、 加入输入、输出端口,在波形编辑器窗口左边的端口名列表区点击鼠标右键,在弹出的右键菜单中选择Insert Node or Bus命令,在弹出的Insert Node or Bus对话框如图3所示界面中点击

23、Node Finder按钮。图3 Insert Node or Bus对话框在出现的Node Finder界面中,如图4所示,在Filter列表中选择Pins:all,在Named窗口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称,点击中间的按钮则Selected Nodes窗口下方出现被选择的端口名称。双击OK按钮,完成设置,回到图3所示的Insert Node or Bus对话框,双击OK钮,所有的输入、输出端口将会在端口名列表区内显示出来,如图5所示。图4 Node Finder对话框图5 在波形编辑器中加入端口4、 编辑输入端口波形,即指定输入端口的逻辑电平变化,在如图5所示的波形编辑窗口中,选择要输入波形的输入端口如A端口,在端口名显示区左边的波形编辑器工具栏中有要输入的各种波形,其按钮说明如图6所示。根据仿真的需要输入波形。完成后如图7所示。最后选择软件的File>Save进行保存。图6 波形编辑器工具栏5、 指定仿真器设置,在仿真过程中有时序仿真和

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论