电子科技大学_交通灯课程设计报告书_第1页
电子科技大学_交通灯课程设计报告书_第2页
电子科技大学_交通灯课程设计报告书_第3页
电子科技大学_交通灯课程设计报告书_第4页
电子科技大学_交通灯课程设计报告书_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.数字逻辑课程设计报告姓名:专业 .专注.学号:选课号:一、设计题目交通灯控制器二、设计要求1 东西方向为主干道,南北方向为副干道;2 主干道通行 40 秒后,若副干道无车 ,仍主干道通行 ,否则转换 ;4 换向时要有4 秒的黄灯期 ;5 南北通行时间为 20 秒,到时间则转换 ,若未到时 ,但是南北方向已经无车 ,也要转换 。6 附加:用数码管显示计时。三、设计过程1 交通控制灯总体设计方案整个交通控制灯电路可以用主控电路控制交通灯电路的亮灯顺序,用计数器控制亮灯时间并给译码器输入信号以便数码管显示时间,用函数发生器产生频率为1Hz 的矩形波信号以供计数器计数。专业 .专注.框图如下 :显示

2、器主干道信号灯计数器主控电路信号灯副干道单位脉冲信号灯十字路口车辆运行情况只有4 种可能(在副干道有车时 ):(1) 设开始时主干道通行 ,支干道不通行 ,这种情况下主绿灯和支红灯亮 ,持续时间为 40s。(2) 40s 后,主干道停车 ,支干道仍不通行 ,这种情况下主黄灯和支红灯亮 ,持续时间为 4s。(3) 4s 后,主干道不通行 ,支干道通行 ,这种情况下主红灯和支绿灯亮,持续时间为 20s。(4) 20s 后,主干道仍不通行 ,支干道停车 ,这种情况下主红灯和支黄灯亮 ,持续时间为 4s。4s 后又回到第一种情况 ,如此循环反复。因此,要求主控制电路也有 4 种状态,设这 4 种状态依

3、次为 :S0、S1、S2、S3。即:主干道绿灯亮,副干道红灯亮计数器由 0 到 40 递增计数( S0)40S 后副干道无车专业 .专注.40S 后副干道有车主干道黄灯亮,支干道红灯亮计数器由 0 到 5 递增计数 (S1)主干道红灯亮,支干道绿灯亮计数器由 0 到 20 递增计数 (S2)20S 后副干道有车主干道红灯亮,支干道黄灯亮计数器由 0 到 4 递增计数 (S3)未过 20s 但副干道已无车状态转换图如下 :副干道无车40s 后S0S14s 后20s 未到,4s后但已无车S3S220s 后状态转换图这四个状态可以用用一个4 进制的异步清零计数器 (74LS160)进行控制并作为主控

4、部分,控制亮灯的顺序 。再用两片计数器(74LS160)控制亮灯时间 ,分别计数 40、20、4。2 主控电路专业 .专注.主控电路是由一块 74LS160 接成的 4 进制计数器 ,即当 QC 为 1 时用异步清零法立刻将计数器清为零 ,同时,另外两片 74LS160 计数器产生的清零信号与主控电路的计数器的计数 CLK 连接,即当计数器一次计数完成后 (一种的状态的亮灯时间过后 ),计数器清零,同时主控电路 CLK 接收一个脉冲 ,跳至下一状态 。如此循环变可实现四个状态的轮流转换 。3计数器计数器的作用 :一是根据主干道和副干道车辆运行时间以及黄灯切换时间的要求 ,进行 40s、20s、

5、4s3 种方式的计数 ;二是向主控制器发出状态转换信号,主控制器根据状态转换信号进行状态转换。计数器除需要单位脉冲作时钟信号外,还应受主控制器的状态专业 .专注.控制 。计数器的工作情况为 :计数器在主控制器进入状态S0 时开始40s 计数;若在 S0 状态的 40s 过后,副干道没有车 ,则使主控制器始终清零 ,保持在 S0 状态(单刀双掷开关处于高电平 ),继续保持主干道路灯亮 ,副干道红灯亮 。40s 后如果副干道有车 ,则恢复主控制器正常状态 (单刀双掷开关处于低电平 ), 计数器产生归零脉冲,并向主控制器发出状态转换信号 ,使计数器归零 ,主控制器进入状态 S1,计数器开始 4s 计

6、数,4s 后又产生归零脉冲 ,并向主控制器发出状态转换信号 ,使计数器归零 ,主控制器进入状态 S2,计数器开始 20s 计数;如果副干道一直有车则 20s 后也产生归零脉冲 ,使主控制器进入 S3 状态,如果在 20s 内没有车 ,则给主控制器传送一个脉冲信号 (即按下按键开关 ,此时单刀双掷开关处于低电平 ),使主控制器直接跳到 S3 状态,同时计数器清零 ,计数器又开始 4s 计数;4s 后同样产生归零脉冲 ,并向主控制器发出状态转换信号 ,使计数器归零 ,主控制器回到状态 S0,开始新一轮循环 。根据以上分析 ,设 40s、4s 、20s、4s 计数的清零信号分别为A、B、C,D,S0

7、 状态时副干道有车信号为P,S2 状态时副干道有车信号为 Q,则计数器的归零信号 S 为:S=A+B+C+D+QA= X 0 ·X1·(Q2 高位)B= X0·X 1·(Q1 高位 )C=X0X1· ·(Q2 低位 )D= X 0 ·X1·(Q2 低位 )Q=1主控制器的归零信号为 :P=X 0··1X 1专业 .专注.电路图如下 :4 灯控电路主控制器的 4 种状态分别要控制主 、支干道红 、黄、绿灯的亮与灭 。设灯亮为 1,灯灭为 0,则交通控制灯的译码电路的真值表如下:交通控制灯的译码电路

8、的真值表主控制器状态主干道支干道X1X0红灯 R黄灯 Y绿灯 G红灯 R1黄灯 Y1绿灯 G1S000001100S101010100S210100001专业 .专注. .S311100010由真值表可写出六盏等的逻辑式,经化简获的六盏灯逻辑式为:RX1YX1X 0GX1 X0R1X1Y1 X1X0G1X1X0根据灯控函数逻辑表达式,可画出由与门和非门组成的状态译码器电路 ,如图所示 。将状态控制器 ,与三色信号灯相连接 ,构成三色信号灯逻辑控制电路 ,如图所示 :专业 .专注.5 交通控制灯原理图专业 .专注.元件清单为 :元件名称元件个数备注计数器 74LS1603 块CLK 低电平有效与

9、门10 块与非门1 块非门6 块或非门2 块按键开关1 个按下自动弹回单刀双掷开关1 个7 段数码管2 块自带译码器函数发生器1 块频率调至 1Hz交通灯6 个红、绿、黄灯各2 个6 74LS160 功能简介74LS160 芯片是一个具有清零、置数、保持、十进制计数等功能的计数器 。其引脚图如下 :CLR 是清零端,低电平有效;CLK 是脉冲输入端口, 低电平有效;(一般情况下 CLK 为高电平有效, 本次实验的 CLK 是低电平有效, 设计电路要特别注意).ABCD 数据输入端;LOAD 为预置端,低电平有效;.74LS160 真值表清零预置使能时预置数据输入输出工作模式钟RLEP ETCP

10、D DDDQQQQDD321032100××××× ×× ×0000异步清零10××d 3d 2d1d 0d 3d 2d 1d 0同步置数110××× ×× ×保持数据保持11×0×× ×× ×保持数据保持1111× ×× ×十进制计数加法计数四、设计结论1 数码管时序图专业 .专注.上图是 0-18s 的时序图 ,0-10s 内,低位从

11、 0 变到 9,高位为 0,当低位从 9 变为 0 时,高位从 0 变为 1,低位继续计数至输出 39。2 主控制器时序图专业 .专注.当高位计数至 3、低位计数至 9,即过了 40s,S0 状态结束 ,主控制器由 00 变为 01,同时高位与低位同时清零 ,进入状态 S1 的计数,4s 后,主控制器由 01 变为 10,进入状态 S2,同时高位与低位同时清零 ,进行 20s 的计数,之后进入 S3 状态,最后再回到 S0 状态,如此循环 。3 交通灯时序图专业 .专注.专业 .专注.当 40s 时,主控制器由 00 变为 01 ,则主干道绿灯灭 ,副干道不变,在主控制器为 01 的 4s 内

12、,主干道黄灯一直亮 ,4s 后,主干道黄灯灭,红灯亮,副干道由红灯变为绿灯 ,进入 S2 状态;S2 状态结束时已经是总第 64s,进入 S3 时,主干道维持不变 ,副干道绿灯灭,黄灯亮,由 S3 进入 S0 时,主干道红灯灭 ,绿灯亮,副干道黄灯灭,红灯亮,然后重复 。4 特殊情况 (副干道无车 )时序图当主干道通行时 ,40s 后副干道无车 ,则将单刀双掷开关拨至高电平 (图中双掷开关一直处在高电平 ),由上图可以看到 40s 后主控制器仍处在 S0 状态,两个数码管归零 ,重新计数 。专业 .专注.脉冲当单刀双掷开关拨至低电平 ,在 S2 状态内(总第 44-64s 内),若副干道无车

13、,则按下按键开关 ,给一个脉冲 (由于时间极短 ,原图中未能显示 ,上图中的脉冲式加上去的 ,便于观察 ),则主控制器直接跳入 S2 状态,同时译码管归零 ,重新开始 S2 状态的计数 。5 结果分析通过以上分析 ,交通控制灯的要求全部实现。6 设计中遇到的问题( 1 )交通 74LS160 的 CLK 是低电平有效 ,设计的时候应特别注意;( 2)刚开始设计时 ,把低位 74LS160 的 RCO 通过反相器连到高位的 CLK 上,结果发现当低位数码管显示 9 时,高位已经变成 1,后改成现在的连接方式 ,即并行级联方式 。专业 .专注.( 3)刚开始总的设计思路总想不出来 ,后来通过翻阅有关书籍和上网查询,最终确定了试验总体思路 ;( 4)实验中 CLK的产生本应使用 555 定时器,但没有调出来 ,由于电子实验课上使用的是 1Hz 的函数发生器产生脉冲 ,故本实验也采用这种方式 。7 设计心得和体会本次实验采用 multisim 搭建电路 ,最后仿真成功 。设计过程中出现了

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论