电子科大微嵌实验报告二_第1页
电子科大微嵌实验报告二_第2页
电子科大微嵌实验报告二_第3页
电子科大微嵌实验报告二_第4页
电子科大微嵌实验报告二_第5页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、电子科技大学实验报告课程名称 微处理器系统与嵌入式系统综合实验实验名称 实验二SoC平台环境搭建任课教师 实验教师 姓名 学号实验地点 科B239 分组号 时间 年 月日一、实验目的1、了解SoC平台环境搭建的具体操作流程2、学习 Xilinx Vivado&SDK 2017.3 工具的使用3、熟悉SoC平台环境搭建过程和工作原理二、实验内容以PS与PL协同设计实现 GPIO为例,自行搭建 SoC平台环境。将FPGA当做一个PS处理器的外设,通过寄存器地址映射到PS的寻址空间。在处理器中使用C程序访问这些寄存器,来实现软件和逻辑结合的协同设计的效果。具体步骤是先在VIVADO中配置ZY

2、NQ处理器,做好FPGA的外设,互联完成之后生成 BIT流文件下载到板子。在 SDK环境下开发好软件之后,进行在线调试运行。三、实验步骤1 .打开桌面 VIVADO 2017.3,点击Create Project创建新工程。为新工程命名,选择工程 保存路径,点击 Next。选择芯片 xc7z020clg484-1,点击 Next 一 Finish 点击 Create Block Design ,创建块设计,并命名2 .在右侧 Diagram 窗口空白处右击 一 Add IP 搜索 zynq,双击 ZYNQ7 Processing System , 添加zynq处理器,并点击 Run Block

3、 Automation ,勾选处理器一 点击OK,会自动进 行一些配置3 .再添加两个 GPIO核,在Diagram窗口空白处右击 一 Add IP 索gpio 一双击。操 作两次,添加两个 AXI GPIO 核,点击 Run Connection Automation ,勾选 All Automation , 点击OK,进行自动配置。自动连接之后在空白处右击选择Regenerate Layout ,重新布局4 . 双击 ZYNQ 处理器核,进行配置,更改 DDR 型号为 MT41K256M16 RE-15E , 将 Bank1 I/O电平设为1.8V,勾选Uartl ,用于通过串口查看程序打

4、印信息5 .将GPIOIP核的名字和端口名称修改为LED和SW。双击LEDIP核,配置LED为输出,GPIOWidth设置为8,对应8个LED灯,点击 OK。双击SWIP核,配置SW为输入, GPIO Width 设置为8,对应8 个拨码开关,点击OK6 .新建约束文件:右击 Constraints Add Sources f 点击 Next-Create File ,为文件命 名,点击OKf Finish根据EES331用户手册中LED和SW的管脚约束表,在PINS_SET.xdc 中添加引脚与电平约束并保存7 . 生成顶层文件: 先右击 system Generate Output Pro

5、ducts fGenerate 右,击 system fCreate HDL Wrapper f OK。Vivado会为IP子系统生成一个顶层文件,以便对该系 统进行综合、实现并生成比特流8 .工程配置完成,点击左下侧 Generate Bitstream 生成比特流,点击Yes f OK,等待比特 流生成。若没有其他错误,比特流生成完成后直接关闭弹出的窗口或选择查看报告9 . 硬件工程设计好之后,可在以下窗口中看到系统分配给外设的地址。接下来将硬件工程导出至U SDK,在SDK中进行软件编译与运行。File f Export Hardware Design to SDK导出硬件到SDK,打钩

6、,包括比特流,点击OK10 .打开SDK: File Launch SDK f OK,进SDK界面。在 SDK中新建工程对 LED和SW 进行编程,实现相应功能。为新工程命名,并产生相应的BSP- Next -选择空工程fFinish11 . 在新建的工程中添加源文件,新建 Source File 或者 Header File, 为文件命名,注意要跟上文件类型.c或.h,点击Finish,在源文件中添加代码12 . 硬件和软件设计好之后,保存工程,接下来调试和运行程序,运行之前先把板子的上所有拨码开关拨到下面。 使用USB线连接PC机和开发板J3端口( JTAG/PS_UART),打开 板子开

7、关,在 Vivado 中点击左下角 Open Hardware Manager Open target fAuto Connection若连接不上板子1 .重启板子或电脑:开关断开或按下板子上的复位按钮(LED和数码管旁边 S3/POR(B5)2 . 杀进程:打开任务管理器进程 hw_server.exe13 . 下载比特流:点击Program device ,在弹出的窗口中找到工程的比特流,比特流文件在工程中的路径为:project_name/project_name.runs/impl_1/system_wrapper.bit , 如下图,点击 Program 下载比特流到板子。14 .

8、若运行程序,则右击工程Run As Launch on Hardware ( GDB)若调试程序,则右击工程Debug As Launch on Hardware ( GDB)15 .查看串口打印:使用桌面串口工具 Putty,设置波特率和端口号。端口号查看方式:右击桌面电脑/计算机 -管理-设备管理器 -端口16 . 若工程正确,可看到8 个 LED 灯循环闪烁,向上拨动任意一个拨码开关时,流水灯运行到最后一个LD7 时停止。实验结果Basic options for your PliTTY sessionCategory:1-1" SessionI Lcflging Termin

9、al! Keyboard j- DellFeatures n Window! Appearance DehainourI Tranlaticjn Selection %Iwe 白” Connectioni DataProxyTelnet: Rlogm+ SSH SerialSpecify the destinartion you want to cormeci toSenJ IreSpeedCOM12|二| 1152MConnecti on type: Raw Teifft Rlogin SSH / SerialLnd, m delete a 界。埠d $部林。口Saved SessionsD

10、efauft SettingsGose windoM1 on eoot川Mw悭Never o Only on clean ewtAboutOpenGanoelSUCCESS. SUCCESS. SUCCESS. SUCCESS. SUCCESSSUCCESS. SUCCESS. SUCCESS. SUCCESS . SUCCESS. SUCCESS. SUCCESS. SUCCESS.I第一个实验按照步骤一步一步就很容易完成了,第二个实验修改完数据就可以了。五、实验总结第一个实验详细了解了开发版和软件操作的流程,其中工程遇到一些问题, 首先把工程建在了 U盘里面,导致生成比特流过程浪费了很多时

11、间还没有完成,最后把工程改为D盘里就顺利完成了。第二个实验把参数修改好然后就成功了。六、 实验思考题1. ZYNQ 7000中提供了哪几种实现 GPIO的方式,这几种之间有什么区别与联系?第一种PS的MIO实现的GPIOMIO实现的GPIO需要在做PC琳卡之前就对功能有所限制;第二种PS的EMIO实现GPIOEMIO实现GPIO也使用PS,但是有FPGA勺灵活第三种 IP 方式实现GPIOMIO和EMIO方式是使用PS部分的GPIO模块来实现 GPIO功能的,支持54个MIO(可输出三态)、64 个输入和128 个输出(64 个输出和64 个输出使能)EMIO而IP方式是在PL部分实现GPIO功能,PS部分通过M_AXI_G腺口来控制该 GPIO IP模块; 另外EMIO模块虽然使用 P

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论