版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、浙师大数理与信息工程学院学 生 实 验 报 告前以舛北大修ZHEJIANG NORMAL UNIVERSITY实验一简单组合逻辑电路设计1、实验目的熟悉软件使用,了解CPLD设计的过程。用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。2、实验内容用开关K7, K8作为输入设置,从输出指示LED观察OUT21,22,23,24等的变化。3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shier is1、实验目的Port( k7,k8:in std_logic;ou
2、t20,out21,out22,out23,out24:out std_logic);end shier;architecture sr of shier isbeginout20=k7;out21=not k7;out22=k7 and k8;out23=k7 or k8;out24=k7 xor k8;end sr;波形仿真NSB-I-JID. ipTIS卸.30.9 ax40.0 :IU33.IT旦HE5瞄划遂1AAkk k_ rn_ ii_5宝34_ii_ in_ ii_L_ii_r_ ii_Lj* 5xl_ Fi_OBKi实验二三八译码器电路设计熟悉软件使用,了解CPLD设计的过程。
3、用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。2、实验内容用开关K1 , K2 , K3 , K4作为输入设置,组成一个高输出有效的三八译码器,从输出指示LED观察OUT1到OUT8随K1 , K1 ,K3置值的改变而引起相应的变化。3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shisan isport( a,b,c:in std_logic;y:out std_logic_vector(7 downto 0);end shisan;architectur
4、e one of shisan isbeginprocess(a,b,c)variable d:std_logic_vector(2 downto 0);begind:=(c&b&a);if d=000 theny=00000001;elsif d=001 then y=00000010;elsif d=010 theny=00000100;elsif d= 011theny=00001000;elsif d= 100theny=00010000;elsif d=101theny=00100000;elsif d=110 theny=01000000;elsif d=111 t
5、hen4、实验设计y=10000000;else null;end if;end process;end one;波形仿真J PF 30 p wp ns英,q皿卒At 5D早玷5Q p as. 70.昨 叫目吨 柔AM皿0MBlO.p n17.4*5&国r1r12挣3(L11U3I0 JClIllllC1: (13ILW11 11310111 XllOllBll(L01113I1 JfOlilllllI Y11113ID0实验四四选一数据选择器电路设计1、实验目的熟悉和了解VHDL语言涉及数字电路的流程,掌握完整的EDA设计方法。2、实验内容用VHDL语言编程实现一个四选一电路。开关K
6、5 , K6作为 控制端来确定K1 , K2 , K3 , K4四个输入中的一个作为输入信号, 并将结果从OUT1输出。3、实验条件4、实验设计EDA实验箱、QUARTUS2软件VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shisi isport( s1,s2,k1,k2,k3,k4:in std_logic;y:out std_logic);end shisi;architecture mux of shisi issignal s:std_logic_vector(1 downto 0);beginsyyyynull;end
7、 case ;end process;end mux;波形仿真:实验五全加器电路设计1、实验目的熟悉VHDL语言的模块化设计,了解元件例化和打包调用语句。2、实验内容用VHDL语言设计一个半加器电路。然后用元件例化语句调用两个半加器电路,用结构描述实现一个全加器。3、实验条件EDA实验箱、QUARTUS2软件4、实验设计VHDL源程序library ieee;end orr;use ieee.std_logic_1164.all;entity banjia isport( a,b:in std_logic;so,co:out std_logic);end banjia;architecture
8、 mux of banjia is-半力口器beginso=a xor b;co=a and b;end mux;library ieee;use ieee.std_logic_1164.all;entity or1 isport( a,b:in std_logic;c:out std_logic);end or1;architecture orr of or1 is-与门beginccin,b=y,co=z,so=sum);-关联方式u2:banjia port map(a=ain,b=bin,co=x,so=y);-关联方式u3:or1 port map(a=x,b=z,c=cout);-
9、关联方式end quanjia;实验六交通灯电路设计1、实验目的熟悉软件使用,了解CPLD设计过程。设计逻辑电路,完成交通灯控制逻辑2、实验内容-元件例化波形仿真1、设计电路,交通灯控制逻辑2、了解状态变化电路的设计3、实验条件EDA实验箱、QUARTUS2软件5、实验设计VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiaotongdeng isgenericcnt_green1:integer:=25;-定义主通道绿灯亮的时间25秒cnt_yellow1:inte
10、ger:=5;-定义主通道黄灯亮的时间5秒cnt_green2:integer:=15;-定义支路绿灯亮的时间15秒cnt_yellow2:integer:=5;-定义支路绿灯亮的时间5秒port clk ,rst :in std_logic;-时钟信号、复位信号lgt1_yellow :out std_logic;-主通道黄灯控制信号lgt1_green:out std_logic;-主通道绿灯控制信号lgt1_red:out std_logic;-主通道红灯控制信号lgt2_yellow :out std_logic;-支路黄灯控制信号lgt2_green:out std_logic;-支
11、路绿灯控制信号lgt2_red :out std_logic;-支路红灯控制信号end jiaotongdeng;architecture art of jiaotongdeng istype states is-状态机(st0,st1,st2,st3);-状态机的各种状态signal state:states:=st0;signal cnt:integer range 0 to 30:=0;-定义计数器signal cnt_en:std_logic:=0;-计数使能信号beginprocess(clk,rst,cnt)beginif rst=1then-复位信号有效那么执行复位操作state
12、=st0;cnt=0;elsif (rising_edge(clk) then-时钟上升沿到来if cnt_en=1thencnt=cnt+1;-计数器计数Elsecntif cnt=cnt_green1 thenstate=st1;-主通道绿灯亮了25秒转换到状态1elsestateif cnt=cnt_yellow1 then-主通道黄灯亮了5秒转换到状态2state=st2;elsestateif cnt=cnt_green2 then-支路绿灯亮了15秒转换到状态3state=st3;elsestateif cnt=cnt_yellow2 then-支路黄灯亮了5秒转换到状态0stat
13、e=st0;elsestate-状态0时主通道绿灯亮,支路红灯亮lgt1_green=1;lgt1_yellow=0;lgt1_red=0;lgt2_green=0;lgt2_yellow=0;lgt2_red=1;cnt_en=1;-开始计数if cnt=cnt_green1 thencnt_en-状态0时主通道黄灯亮,支路红灯亮lgt1_green=0;lgt1_yellow=1;lgt1_red=0;lgt2_green=0;lgt2_yellow=0;lgt2_red=1;cnt_en=1;-开始计数if cnt=cnt_yellow1 thencnt_en-状态0时主通道红灯亮,支路绿灯亮lgt1_green=0;lgt1_yellow=0;lgt1_red=1;lgt2_green=1;lgt2_yellow=0;lgt2_red=0;cnt_en=1;-开始计数if cnt=cnt_green2 thencnt_en-状态0时主通道红灯亮,支路黄灯亮lgt1_gr
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 财政部会计内控制度
- 行政机关违反内控制度
- 林场内控制度
- 银行清算中心内控制度
- 档案史志馆内控制度
- 评定分离内控制度
- 建立经费使用内控制度
- 党费财务内控制度
- 校工会内控制度
- 2026年广告程序化广告技术报告及未来五至十年数字广告报告
- 2026年高考化学模拟试卷重点知识题型汇编-原电池与电解池的综合
- 2025青海省生态环保产业有限公司招聘11人笔试历年参考题库附带答案详解
- 2026年湖南电气职业技术学院单招综合素质考试题库含答案详解
- 2025年天津市普通高中学业水平等级性考试思想政治试卷(含答案)
- 2025年昆明市呈贡区城市投资集团有限公司及下属子公司第二批招聘(11人)备考核心题库及答案解析
- 2025年度护士个人工作总结与展望
- 脑膜瘤患者出院指导与随访
- 学堂在线 雨课堂 学堂云 科研伦理与学术规范 期末考试答案
- 医院副院长年度工作述职报告范文
- 唇腭裂序列治疗课件
- 工地给水管预埋施工方案
评论
0/150
提交评论