quartus宏功能模块介绍_第1页
quartus宏功能模块介绍_第2页
quartus宏功能模块介绍_第3页
quartus宏功能模块介绍_第4页
quartus宏功能模块介绍_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、RAM宏模块宏模块名称功能描述csdpram参数化循坏共享双端口 RAMlpm_ram_dp参数化双端口 RAMlpm_ram_dq参数化RAM,输入/输出端分离lpm_ramo 参数化RAM,输入/输出端公用一个端口FIFO宏模块宏模块名称功能描述csfifo参数化循环共享FIFOdcfifo 参数化双时钟FIFOscfifo 参数化单时钟FIFOlpmifo参数化单时钟FIFOlpm_fifo_dc参数化双时钟FIFOROM的设计lpm_romQuartus II开发软件中的宏模块一一时序电路宏模块触发器宏模块名称功能描述lpm_ff参数化D或T触发器lpm_dff参数化D触发器和移位寄存

2、器Ipmjff参数化T触发器enadff带使能端的D触发器expdff用扩展电路实现的D触发器7470带预置和清零端的与门JK触发器7471带预置端的JK触发器7472带预置和清零端的与门JK触发器7473带清零端的双JK触发器7474带异步预置和异步清零端的双D触发器7476带异步预置和异步清零端的双JK触发器7478带异步预置、公共清零和公共时钟端的双JK触发器74107带清零端的双JK触发器74109带预置和清零端的双JK触发器74112带预置和清零端的双JK时钟下降沿触发器74113带预置端的双JK时钟下降沿触发器74114带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器741

3、71带清零端的4D触发器74172带三态输出的多端口寄存器741734位D型寄存器74174带公共清零端的16进制D触发器74174b带公共清零端的16进制D触发器74175带公共时钟和清零端的4D触发器74273带异步清零端的8进制触发器 74273b带异步清零端的8进制触发器74276带公共预置和清零端的4JK触发器寄存器74374带三态输出和输出使能 端的8进制D触发器74374b带三态输出和输出使能端的8进制D触发器 74376带公共时钟和公共清零端4JK触发器74377带使能端的8进制D触发器74377b带使能端的8进制D触发器74378 带使能端的16进制D触发器74379带使能端

4、的4D触发器743968进制存储寄存器74548带三态输出的8位两级流水线寄存器74670带三态输出的4位寄存器74821带三态输出的10位总线接口触发器74821b带三态输出的10位D触发 器74822带三态反相输出的10位总线接口触发器74822b带三态反相输出的10 位D触发器74823带三态输出的9位总线接口触发器74823b带三态输出的9位D触发器74824带三态反相输出的9位总线接口触发器74824b带三态反相输出的9位 D触发器74825带三态反相输出的8位总线接口触发器74825b带三态输出的8进制D 触发器74826带三态反相输出的9位总线接口触发器74826b带三态反相输出

5、的8进 制D触发器锁存器宏模块名称功能描述lpmatch参数化锁存器explatch用扩展电路实现的锁存器In pitch用扩展电路实现的输入锁存器nandltch用扩展电路实现的SR (非)与非门锁存器norltch用扩展电路实现的SR或非门锁存器7475 4位双稳态锁存器74774位双稳态锁存器74116带清零端的双4位锁存器74259带清零端、可设定地址的锁存器742794路SR (非)锁存器74373带三态输出的8进制透明D锁存器74373b带三态输出的8进制透明D 锁存器74375 4位双稳态锁存器745498位二级流水线锁存器74604带三态输出的8进制2输入多路锁存器74841带

6、三态输出的10位总线 接口 D锁存器74841b带三态输出的10位总线接口 D锁存器74842带三态 输出的10位总线接口 D锁存器74842b带三态输出的10位总线接口 D反相锁存器 74843带三态输出的9位总线接口 D锁存器74844带三态输出的9位总线接口 D反相锁存器 74845带三态输出的8位总线接口 D锁存器74846带三态输出的8位总线接口 D反相锁存器749908位透明读回锁存器计数器宏模块名称功能描述lpm_conter参数化计数器(仅限FLEX系列器件)gray4格雷码计数器unicnt通用4位加/减计数器,可异步设置、读取、清零和级联的左/右移位寄存器16cudslr

7、16位2进制加/减计数器,带异步设置的左/右移位寄存器16cudsrb 16位2进制加/减计数器,带异步清零和设置的左/右移位寄存器4count 4位2进制加/减计数器,同步/异步读取,异步清零8count 8位2进制加/减计数器,同步/异步读取,异步清零7468双10进制计数器7469双12进制计数器749010/2进制计数器7492 12进制计数器7493 4位2进制计数器741434位计数/锁存器,带7位输出驱动器0 4位10进制计数器,同步读取,异步清零1 4位2进制加法计数器,同步读取,异步清零2 4位2进制加法计数器,同步读取,同步清零3 4位2进制加法计数器,同步读取,同步清零7

8、4168同步4位10进制加/减计数器74169同步4位2进制加/减计数器74176可预置10进制计数器74177可预置2进制计数器0 4位10进制加/减计数器,异步读取1 4位2进制加/减计数器,异步读取2 4位10进制加/减计数器,异步清零3 4位2进制加/减计数器,异步清零74196可预置10进制计数器74197可预置2进制计数器7429010进制计数器74292可编程分频器/数字定时器742932进制计数器74294可编程分频器/数字定时器74390双10进制计数器74393双4位加法计数器,异步清零74490双4位10进制计数器0 10进制加/减计数器,同步读取,同步和异步清零1 2进

9、制加/减计数器,同步读取,同步和异步清零745908位2进制计数器,带三态输出寄存器745928位2进制计数器,带输入寄存器74668同步10进制加/减计数器74669同步4位2进制加/减计数器74690同步10进制计数器,带输出寄存器,多重三态输出,异步清零74691 同步2进制计数器,带输出寄存器,多重三态输出,异步清零74693同步2进 制计数器,带输出寄存器,多重三态输出,同步清零74696同步10进制加/减 计数器,带输出寄存器,多重三态输出,异步清零74697同步2进制加/减计数 器,带输出寄存器,多重三态输出,异步清零74698同步10进制加/减计数 器,带输出寄存器,多重三态输

10、出,同步清零74699同步2进制加/减计数器, 带输出寄存器,多重三态输出,同步清零分频器宏模块名称功能描述Freqdiv 2, 4, 8, 16 分频器7456双时钟5, 10分频器7457双时钟5, 6, 10分频器多路复用器宏模块名称功能描述lpm_mux参数化多路复用器2lmux2线-1线多路复用器16lmux16线-1线多路复用器2X8mux 8位总线的2线-1线多路复用器8lmux8线T线多路复用器741518线T线多路复用器74151b8线-1线多路复用器74153双4线-1线多路复用器74157四2线-1线多路复用器74158带反相输出的四2线一 1线多路复用器74251带三态

11、输出的8线-1线数据选择器74253带三态输出的双4线-1线数据选择器74257带三态输出的四2线一 1线多路复用器74258带三态反相输出的四2线一 1线多路复用器74298带存储功能的四2输入多路复用器74352带反相输出的双4线-1线数据选择器/多路复用器74353带三态反相输出的双4线-1线数据选择器/多路复用器74354带三态输出的8线-1线数据选择器/多路复用器74356带三态输出的8线-1线数据选择器/多路复用器74398带存储功能的四2输入多路复用器74399带存储功能的四2输入多路复用器移位寄存器宏模块名称功能描述lpm_clshift参数化组合逻辑移位器lpm_shiftr

12、eg参数化移位寄存器barrelst 8位桶形移位器barrlstb 8位桶形移位器7491串入串出移位寄存器7494带异步预置和异步清零端的4位移位寄存器7495 4位并行移位寄存器7496 5位移位寄存器7499带JK串入串出端的4位移位寄存器74164串入并出移位寄存器74164b串入并出移位寄存器74165并行读入8位移位寄存器74165b并行读入8位移位寄存器74166带时钟禁止端的8位移位寄存器741784位移位寄存器74179带清零端的4位移位寄存器74194带并行读入端的4位双向移位寄存器741954位并行移位寄存器0 8位双向移位寄存器1 8位双向移位寄存器74295带三态输

13、出端的4位左右移位寄存器742998位通用移位/存储寄存器74350带三态输出端的4位移位寄存器74395带三态输出端的4位可级联移位寄存器74589带输入锁存和三态输出端的8位移位寄存器74594带输入锁存的8位移位寄存器74595带输入锁存和三态输出端的8位移位寄存器74597带输入寄存器的8位移位寄存器74671带强制清零和三态输出端的4位通用移位寄存器/锁存器Quartus II开发软件中的宏模块一一运算电路宏模块加法器和减法器宏模块名称功能描述lpm_add_sub参数化加法器/减法器8fadd8位全加器8faddb8位全加器7480 门控全加器7482 2位2进制全加器7483 带

14、快速进位的4位2进制全加器74183 双进位存储全加器0 带快速进位的4位全加器74385 带清零端的4位加法器/减法器乘法器宏模块名称功能描述lpm_mult参数化乘法器mult2 2位带符号数乘法器mult242X4位并行2进制乘法器mult44位并行2进制乘法器mult4b4位并行2进制乘法器tmult44X4位并行2进制乘法器7497 同步6位速率乘法器742612位并行2进制乘法器1 4X4位并行2进制乘法器(输出结果的最高4位)2 4X4位并行2进制乘法器(输出结果的最低4位)除法器 divide 和 lpm_divide绝对值运算lpm_abs数值比较器宏模块名称功能描述lpm_

15、compare参数化比较器8mcomp 8位数值比较器8mcompb8位数值比较器74854位数值比较器745188位恒等比较器74518b8位恒等比较器746848位数值/恒等比较器746868位数值/恒等比较器746888位恒等比较器编码器模块名称功能描述0 10线一3线BCD编码器1 8线一3线8进制编码器74384带三态输出的8线一3线优先权编码器译码器宏模块名称功能描述lpm_decode参数化译码器16dmux4位2进制一16线译码器16n dmux 4位2进制一16线译码器7442 1线一10线BCD 10进制译码器7443 余3码一10进制译码器7444 余3格雷码一10进制译

16、码器7445 BCD码一10进制译码器7446 BCD码一7段译码器7447 BCD码一7段译码器7448 BCD码一7段译码器7449 BCD码一7段译码器0 带地址锁存的3线一8线译码器1 3线一8线译码器2 双2线一4线译码器74145BCD码一10进制译码器0 4线-16线译码器1 双2线-4线译码器/多路输出选择器2 双2线-4线译码器/多路输出选择器0 BCD码一7段译码器1 BCD码一7段译码器2 BCD码一7段译码器74445BCD码T 0进制译码器奇偶木父验器宏模块名称功能描述741809位奇偶产生器/校验器74180b9位奇偶产生器/校验器742809位奇偶产生器/校验器74280b9位奇偶产生器/校验器LPM库单元列表模块分类宏单元简要说明门单元模块lpm_a nd参数化与门lpm_bustri参数化三态缓冲器lpm_clshift参数化组合逻辑移位器lpm_constant参数化常数产生器Ipm decode参数化译码器Ipmnv参数化反向器lpm_mux参数化多路选择器busmux参数化总线选择器mux多路选择器lpm_or参数化或门lpm_xor参数化异

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论