电子CAD项目扩音机电原理图设计_第1页
电子CAD项目扩音机电原理图设计_第2页
电子CAD项目扩音机电原理图设计_第3页
电子CAD项目扩音机电原理图设计_第4页
电子CAD项目扩音机电原理图设计_第5页
已阅读5页,还剩154页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、项目4 扩音机电原理图设计 扩音机的元器件库设计扩音机的元器件库设计 4.1扩音机电原理图设计扩音机电原理图设计 4.2任务任务4.14.1 扩音机的元件库设计扩音机的元件库设计 4.1.1 4.1.1 元器件库编辑器元器件库编辑器1.1. 1.1. 放置原理图文件常见问题放置原理图文件常见问题 (1)知道原理图元器件的符号,并且知道位于哪个元器件库中,但不知其原理图符号在库中的名称。 (2)知道原理图元器件符号和名称,但不知其位于哪个元器件库中。 (3)DXP元器件库中根本没有该原理图文件。 (4)DXP元器件库中虽然有该类型的原理图元器件,可原理图符号不符合实际的需要。 对于以上问题,对应

2、的解决方法如下: (1)在元器件库面板添加该元器件库,选中第一个元器件后,利用键盘上的上、下键逐个流浪元器件库中的原理图符号,直到找到该元件。 (2)利用元器件的查找功能找到该元器件库和元器件。 (3)自己创建该原理图文件。 (4)复制该原理图元器件后,编辑修改该原理图元器件。 2.创建元器件库的的重要性 电路原理图的设计过程,主要就是放置各种元器件的过程。如果设计者所需要放置的元件并不在系统已经加载的集成库文件中,那么就需要对该元件及其所在的库文件进行查找。由于Protel DXP 2004 SP2内置的集成库文件相当完整,所存放的库文件数量非常庞大,几乎涵盖了世界上所有芯片制造商的产品,并

3、且还可以从网上随时更新,所以大多数情况下,设计者能够在系统提供的库文件中找到所需的元器件,可以随时放置使用。如图4-4所示为该软件自带的集成库文件。 对于某些比较特殊的、非标准化的元器件或新开发出来的元件,可能会在系统库文件中一时无法找到。而且,系统本身所提供的库元件原理图符号外形及其其他模型形式,有时候也并不符合用户的具体电路设计要求。因此,在某些情况下,就要求设计者能够自己创建库元件,为其设计合适的原理图符号或其他模型形式,以满足自己的设计需要。 Protel DXP 2004 SP2系统为用户提供了创建库元件的适用工具-库文件编辑器,使设计者能够随心所欲地创建符合自己要求的元件,并建立相

4、应的库文件,加入到系统中,在原理图设计过程中或者在设计PCB印刷电路板时使用。 图4-4 自带的集成库文件 另外,在该软件中并没有提供元件的元器件库文件,而是把元件的原理图符号与PCB封装、信号完整性模型等一起放在了集成库文件中。设计者需要放置元件的原理图符号时,要到相应的集成库文件中进行查找,并不是很方便。同时可以库文件编辑器,来为设计者自己的设计项目创建一个独立的元器件原理图库文件(扩展名为*.SchLib)和PCB库文件(扩展名为*.PcbLib),单独存放该项目中所用到的全部元器件,使得项目自称一体,便于项目的编辑和管理,也增加了灵活性。扩音机原理图中的TDA1521和双联电位器在集成

5、库中不存在,则需要设计者自行设计元件库。 3.启动元器件库编辑器编辑环境使用Protel DXP 2004 SP2系统的库文件编辑器可以创建元器件库。我们知道,元器件的原理图符号本身并没有任何实际的意义,而不过是一种代表引脚电气分布关系的符号而已。因此,同一个元器件的原理图符号可以具有多种形式,只要保证其所包含的引脚信息是正确的就行。但是,为了便于交流和统一管理,设计者在设计原理图符号时,也应该尽量符合标准的要求,以便于系统库文件中所提供的库元件原理图符号做到形式上、结构上的一致。 启动原理图库文件编辑器有多种方法。通过新建一个原理图库文件,或者打开一个已有的原理图库文件,都可以进入元器件库文

6、件的编辑环境中。 (1)执行菜单操作【文件】/【创建】/【库】/【原理图库】。执行该命名后,一个默认名为“SchLib.SchLib”原理图库文件被创建,同时启动了原理图库文件编辑器。如图4-5所示原理图库界面。 图4-5 原理图库编辑界面 (2)执行菜单操作【文件】/【另存为】,在弹出的对话框中,将该原理图库文件重新命名为“NewSchLib.SchLib”,并保存在如图4-6所示的位置。 (3)单击“保存”按钮后,返回原理图库文件编辑环境。 (4)在原理图编辑环境中,单击左下方的“SCH Library”,则弹出如图4-7所示的原理图库文件编辑器窗口。 图4-6 保存对话框 4.元器件库编

7、辑环境 图4-7所示的元器件库文件编辑环境与电路原理图编辑环境界面非常相似,主要由主菜单栏、标准工具栏、实用工具、编辑窗口及面板控制中心等几大部分组成,操作方法也几乎一样。但是也有不同的地方,具体表现在以下几个方面。图4-7 显示元件管理器的元件器编辑界面 (1)工作窗口。工作窗口内不再有图纸框,而是被十字坐标轴划分为4个象限,坐标轴的交点即为该窗口的原点。一般在设计元器件时,其原点就放置在工作窗口原点处,而具体元器件的设计、编辑则在第四象限进行。 (2)实用工具。在实用工具中提供了两个重要的工具栏。原理图符号设计工具栏与IEEE符号工具栏。这两个是元器件库文件编辑环境所特有的,用于完成原理图

8、符号的设计 (3)模式工具栏。用于控制当前元件的显示模式。 (4)原理图库文件面板。在面板控制中心的【SCH】标签页中,增加了【SCH Library】面版,如图4-8所示。这也是原理图库文件编辑环境中特有的工作面板,用于对原理图库文件的编辑进行管理。 (5)元器件库编辑器。如图4-9所示。 图4-8 SCH 面板5、元器件编辑器 在设计元器件库文件时候,一般元器件库编辑器和图4-9所示的工具菜单是配合使用。 图4-9 元器件库编辑器 (1)工具菜单管理元件 【新元件】添加新的元器件。 【删除元件】删除与元器件库管理器中的元件区域指定的元器件。 【删除重复】删除元器件库中重复的元器件名。 【重

9、新命名元件】修改元器件句管理器中的元件区域中的制定元器件的名称。 【复制元件】将选中的元器件复制到指定的元器件库中。 【移动元件】将选中的元器件移动到指定的元器件库中。 【创建元件】在一个复合封装的元器件中的元件。 【删除元件】删除一个复合封装的元器件中的元件。 【模式】可以为元器件创建一个可替代的视图模型。 【转到】转换元器件。 【查找元件】进行元器件的搜索操作。 【元件属性】用来打开“元件属性”对话框。 【参数管理】用来对元器件的属性参数进行管理。 【模式管理器】用来对元器件的模型进行管理。 【XSpice模型向导】启动Spice模型创建向导,来为元器件创建SPICE模 【更新原理图】在元

10、器件库编辑器里所做的修改,会在打开的原理图中 【文档选项】。系统会弹出“元器件库编辑器工作区”对话框。 【原理图优先设定】。系统会弹出元器件图的“优先设定”对话框。 (2)元器件库编辑器 由图4-4-1010所示,它由4 4个部分组成。从上到下依次为:【元件】区域、【别名】区域、【PinsPins】区域和【模型】区域。 图4-10 工具菜单栏 【元件区域】此区域的功能是选择所要编辑的元件。当打开一个元件库时,在此栏中就会罗列出这个元件库所有的元件的名称及相关信息。在单击“SCH Library”选项卡后,打开元器件库编辑器面板,此时可以看到元件列表栏“元件”下已经有了一个默认元件名为Compo

11、nent_1的元件。 【追加】。添加元件。单击该按钮以后,会弹出如图4-11所示的添加元件组对话框。输入指定的元件名称后,单击“确认”按钮可将新的元器件添加到元器件库里面。此时元件区域如图4-12所示。 图4-11 添加新的元器件对话框 图4-12 添加新元器件的元件区域 【放置】。是将选中的元器件放置到电路图中。单击该按钮以后,系统自动切换到原理图设计界面,同时原理图元器件库编辑器退后到后台运行。在元件区域选中Component_2Component_2,如果我们之前没有新建原理图,则编辑界面会自动切换到如图4-74-7所示的编辑窗口,此时的原理图为新建的原理图默认名称为Scheet1.Sc

12、hDocScheet1.SchDoc。(设计者可以依据实际需要修改原理图文件名。)在原理图编辑图上,光标变为十字光标,并在光标下带有Component_2,Component_2,依据实际需要将该元件放入到原理图上适合的位置。上述操作完毕以后,一定要记得保存。 该区域还提供了删除元器件操作。单击“删除”按钮,可以将元器件库中的一个元器件删除。 由于是初次进入元件区域。所以元件区域一片空白。如果设计者已经多次编辑元器件库文件,那么在元件区域会出现多个元器件,如图4-134-13所示。下面讲述如何使用元件区域将自行编辑的元器件放置到原理图。图4-13 含有元器件信息的“元件”区域 1)筛选元器件。

13、“元件”区域第一行的空白编辑框用于筛选元器件,在其中输入TD,元器件列表区域只显示以这些字符开头的元器件,如图4-14所示。 2)编辑元器件。从筛选结果中选择需要编辑的元器件,如TDA1521,单击“编辑”按钮弹出LibraryComponent Properties对话框,如图4-15所示。在其中可以更改元器件相关属性。(如何修改相关属性稍后章节介绍)。 3)放置元器件。从筛选结果中选择TDA1521,单击“放置”按钮,系统自动切换到一个处于激活状态的原理图设计界面进行元器件放置。如果当前工作区没有任何原理图打开,系统就默认新建一个原理图文件进行元器件放置。这样就将元器件库中的元件放置于原理

14、图上。 图4-14 筛选元器件图4-15 LibraryComponent Properties对话框 【别名区域】。用于设置选择元器件的别名,一般不设置。用于显示元件的别名,并可以通过选择“追加”、“删除”和“编辑”操作。在众多的电子元器件中,很多元器件在功能、封装及引脚排布上完全一致,但名称不同。为了减少工作量,对所创建的元器件可以命名多个别名。添加完别名后,在元器件筛选栏进行元器件筛选时,系统除了显示元件名一致的元器件外,也会显示别名一致的元器件。 【PinsPins区域】。 用于显示当前工作中的元件引脚以及状态信息。同理可选择相应按钮对元件引脚进行编辑。需要说明的一点是,管脚的添加需要

15、在元器件主体设计完毕进行。添加管脚操作方法如下。 1 1)添加引脚。单击“追加”按钮,鼠标将自动跳到元器件编辑工作区,光标呈十字状,光标变为带有一个元器件引脚的虚影。在放置到矩形边时,一定要保证具有电气特性的一端,也就是带交叉线的一端朝外。如图4-164-16所示。 2 2)完成添加。在合适的地方单击鼠标左键放置一个引脚,此时光标仍处于放置引脚状态,可以继续添加其他引脚。单击右键即可退出添加引脚模式。每次添加一个引脚,列表区域显示刚才所添加的引脚信息,图4-174-17显示连续添加9 9根引脚后的信息。 3 3)编辑引脚。在引脚列表中选中需要编辑修改的引脚,单击“编辑”按钮,弹出元器件“引脚属

16、性”对话框,进行属性设置,如图4-184-18所示。单击“删除”按钮可以将指定的引脚删除。 图4-16 引脚放置示意图 图4-17 元件引脚信息列表引脚属性各选项含义如下所示。显示名称。即引脚名称。一般以字母表示该引脚的作用。选中Visible,则显示名称在图上显示。标识符。即引脚序号。一般以数字表示即元件引脚名。选中Visible,则引脚名在图上显示。 电气类型。即引脚电气特性。可以根据实际元件引脚在下拉列表框中进行设置。常用的设置有,【InputInput】输入、【IOIO】双向、【OutputOutput】输出、图4-18 元器件“引脚属性”对话框 【Open CollectorOpen

17、 Collector】集电极开路、【PowerPower】接电源、【PassivePassive】接地等,并在图纸上用相应的箭头表明信号方向。符号。设置引脚的各种附带符号,以表示数字电路等元件引脚的输入信号类型等。如果要设置该引脚为时钟引脚且低电平有效,可在【内部边缘】中选【ClockClock】表示该引脚为时钟,而在【外部边缘】中选【Dot】表示该引脚低电平有效,则在引脚预览图片框中出现相应的符号。长度。设置引脚的长度。图形栏。位置X和Y的坐标值、引脚长度值、引脚方向选择,可从下拉列表中选择合适的旋转角度。对换选项。通过设定部件、引脚值来实现多部件、引脚之间的相互交换。 【模型区域】。该区域

18、的功能是确定元件的PCB封装、信号完整性或仿真模式等。4.1.2 元器件编辑器设置 设计元器件的一般步骤如下所述。 1)新建原器件库。 2)设置工作参数。 3)新建元器件并修改元器件名称。 4)在第四象限的原点附近绘制元器件外形。 5)放置元器件引脚。 6)设置元器件属性。 7)设置元器件封装。 8)保存元器件。1.设计前的准备 在设计原理图元器件前必须了解元器件的基本图形和引脚的尺寸,以保证设计出的元器件与Protel DXP 2004 SP2自带库中的元器件的风格基本相同,保证图形的一致性。 (1)查看自带库中的元器件信息 下面以集成元器件库“Miscellanceous Devices.

19、IntLib”中的元器件为例查看元器件信息。 由于该库是集成元器件库,即把元器件库和PCB板库集成在一起,所以必须抽取库的源文件。执行菜单操作命令【文件】/【打开】,系统弹出“选择打开文件”对话框,在“Altium2004 SPLibrary”文件夹下选择集成元器件“Mischllaneous Devices.IntLib”,如图4-19所示,单击“打开”按钮,屏幕弹出“抽取源码或安装”对话框,如图4-20所示。本任务中要查看库的源文件,故单价“抽取源”按钮调用该库。 选中该库,单击编辑区左侧的选项卡“SCH Library”,屏幕弹出元器件库管理库,在其中可以浏览元器件及引脚的定义方式。操作

20、完毕以后,则可以看到如图4-21所示的界面。图4-20 “抽取源码或安装”对话框图4-19“选择打开文件”对话框 (2)设置工作区参数在元器件库的编辑环境中,执行菜单操作命令【工具】/ /【文档选项】,则弹出如图4-224-22所示的库编辑器工作区对话框。可以根据需要设置相应的参数。该对话框与稍后需要讲解的原理图编辑环境中的【文档选项】对话框的内容相似,稍后会进行重点讲解,这里只讲个别选项的含义,其他选项设计者可以参考稍后的【文档选项】对话框进行设置。显示 隐藏引脚。用来设置是否显示库元件的隐藏引脚。若选中该复选框,则元器件的隐藏管脚将被显示出来。使用自定义尺寸。用来设置用户是否自定义图纸的大

21、小。选中该复选框以后,可以在下面的“X”,“Y”文本栏中分别输入自定义图纸的高度和宽度。例如。在这里选中了该复选框,分别输入了图纸的自定义高度与宽度。 库描述。用来输入对原理图库文件的说明。用户应根据自己创建的库文件,在该文本栏中输入必要的说明,可以为系统进行元件库查找提供相应的帮助。图4-21 自带元件库信息 图4-22 设置工作区参数 u网格。在“网格”区中设置捕获栅格和可视栅格尺寸,一般均设置为10。在绘制不规则图形时,有时还需要适当减小捕获栅格的尺寸以便完成图形设计,设计完毕将栅格还原为10。在本设计中,按照默认数值设定,不做任何修改。u 关闭自动滚屏。执行菜单操作命令【工具】/【原理

22、图优先设定】,弹出如图4-23所示的对话框。选择“Schematic”下的“Graphical Editing”选项,在”自动摇景选项”的“风格”下拉列表框中选中“Auto Pan Off”取消自动选择。 图4-23 原理图优先设置2. 2. 元器件编辑器设置 (1)元件区域在图4-24所示的元件区域,可以看到系统会自动在该库中新建一个名为Component_1的元器件。 图4-24 元件区域由于本文中的集成库中不存在TDA1521和双联电位器,在此先进行TDA1521的元器件设计的讲解,之后在进行双联电位器的讲解。 执行菜单操作命令【工具】/【重新命名元器件】,弹出如图4-25所示的对话框。

23、在图4-25中,输入“TDA1521”,单击“确认”按钮。此时图4-24则变为图4-26所示的名称。 图4-25 重新命名元器件 图4-26 修改名称完毕的元件区域(2 2)TDA1521TDA1521讲解TDA1521TDA1521飞利浦2 215W15W单片功放集成电路, ,采用九脚单列直插式塑料封装,外围元件极少, , 使用方便, , 具有短路保护和静噪功能。在扩音机的原理图中,是作为音频功放使用。 TDA1521引脚功能及参考电压: 1脚:11V反向输入1(L声道信号输入)。2脚:11V正向输入1。3脚:11V参考1(OCL接法时为0V,OTL接法时为1/2Vcc)。 4脚:11V输出

24、1(L声道信号输出)。5脚:0V负电源输入(OTL接法时接地)。 6脚:11V输出2(R声道信号输出)。7脚:22V正电源输入。8脚:11V正向输入2。9脚:11V反向输入2(R声道信号输入)。 图4-27 TDA1521元件图(3)别名区域由于TDA1521是的作用就是进行功率放大, 因此可以可以对其命名别名为“gongfang”。选择图4-26的TDA1521,单击别名区域的“追加”按钮,则弹出如图4-28所示的对话框,输入“gongfang”,单击“确认”按钮,关闭对话框。元器件管理器的界面显示如图4-29所示。图4-28 TDA1521命名别名 图4-29 TDA1521与其对应别名4

25、.1.3 元器件绘制工具及其基本操作 原理图元器件设计需要使用设计工具,Protel DXP 2004 SP2提供有绘图工具、IEEE符号工具及“工具”菜单栏下的相关命令来完成元器件设计。1. 绘图工具栏 执行菜单操作命令【查看】/【工具栏】/【实用工具栏】,该工具栏中包含IEEE工具栏、绘图工具栏及栅格工具栏等。如图4-30所示。 图4-30 实用工具栏及绘图工具栏绘图工具栏 绘图工具栏如图4-30所示。利用绘图工具栏可以新建元器件、增加元器件的功能单元,设计元器件的外形和放置元器件的引脚等。与绘图工具栏相应的菜单命令均位于“放置”菜单命令下,绘图工具栏对应的功能及组合键如表4-1所示。表4

26、-1 绘图工具栏对应的功能及组合键图标功能组合键画直线(Line)Alt+P+L画贝塞尔曲线(Bezier)Alt+P+B画椭圆弧(Elliptical Arc)Alt+P+I画多边(Polygons)Allt+P+Y 添加字符串(Text String)Att+P+T 创建新元件(Component)Alt+P+L 创建元件组件(Component Part)Alt+T+W画矩形(Rectangle)Alt+P+R画圆角矩形(Round Rectangle)Alt+P+O画椭圆(Ellipses)Alt+P+E插入图形(Graphic Image)Alt+P+G阵列式粘贴(Place Arr

27、ay)Alt+E+Y放置元件引脚(Pin)Alt+ P+P2.IEEE2.IEEE符号 使用该工具可以在所创建的元件引脚上放置IEEE的各种标准电气符号。IEEE是Institute of Electrical and Electronic Engineers的缩写,中文名称是电气和电子工程师学会(美国)。表4-2列出了标准IEEE符号所对应的功能。 图标图标功能功能放置小圆点(Dot),在负逻辑或低电平触发的场合使用从右向左传输的信号流(Right Left Signal Flow),用于指明信号的传输方向时钟信号符号(Clock)低电平触发输入符号(Active Low Input)模拟信

28、号输入符号(Analog Signal In)非逻辑性连接符号(No Logic Connection)延时输出符号(Postponed Output)集电极输出符号(Open Collector)表4-2 标准IEEE符号对应的功能高阻抗状态符号(Hiz)高扇出电流符号(High Current),用于电流比TTL器件大的场合脉冲符号(Pulse),单晶态元器件会使用此符号延迟符号(Delay)多条I/O线组合符号(Group Line),用于表示有多条输入或输出线的符号二进制组合符号(Group Binary)低态触发输出符号(Active Low Output)符号(Pi Symbol)

29、大于等于符号(Greater Equal)具有上拉电阻的集电极输出符号(Open Collector PullUp),输出高阻抗低态和低阻抗高态两种发射极输出符号(Open Emitter)具有上拉电阻的发射极输出符号(Open EmitterPullUp),输出高阻抗低态和低阻抗高态两种数字信号输入符号(Digital Signal In),用于数字信号控制引脚反相器符号(Inverter)双向信号流符号(Input output),用于表示该引脚具有输入和输出两种作用信号左移符号(Shift Left),用于寄存器中数据由右向左移的情况小于等于符号(Less Equal)加法符号(Sigm

30、a)施密特触发输入符号(Schmitt)信号右移符号(Shift Right),用于寄存器中数据由左向右移动的情况 3.绘图工具条的使用(1)绘制直线 1)执行菜单命令【放置】/【直线】。 2)绘制直线。执行画直线命令后,光标变为十字形,单击鼠标确定直线的起点,拖动鼠标,形成一条直线,拖动到适当位置再次确定直线的终点。 3)双击需要设置属性的直线,将弹出如图4-31所示的设置直线属性对话框“折线”,可以在此对话框内设置直线的有关参数。 图4-31 直线属性对话框 图4-31中直线的各项参数意义。 颜色。直线颜色设置,默认蓝色。单击其右边色块,可以选择所需的颜色。 线风格。默认Solid。右边的

31、下拉列表框的有三个Solid(实线)、Dashed(虚线)、Dotted(点线)。 线宽。直线宽度设置。默认是Small。单击右边的下拉列表框中有四个选项Smallest、Small、Medium,Large。画完一段直线后,如果设计者想要延长直线或者要改变直线上某个转弯点的位置,可以直接单击该段直线,这时在直线的各个转弯点和起点、终点都会出现绿色的小方块,将光标移动到绿色小方块上,按下并拖动鼠标到合适的位置释放鼠标,即可修改直线上转弯点的位置。(2)绘制多边形 多边形是利用鼠标光标确定的顶点所构成的封闭区域。Protel DXP 2004 SP2 可以绘制出任意形状的多边形。执行多边形操作命

32、令,完成多边形绘图如下步骤。1)执行菜单命令【放置】/【多边形】。2)绘制多边形。执行绘制多边形命令后,光标变为十字形状,单击鼠标确定多边形的第一个顶点,移动并单击鼠标,就确定了一个多边形的一个顶点,最后单击鼠标右键或者按Esc键退出。系统自动会将多边形的第一个顶点和最后一个顶点连接起来,构成一个封闭的多边形。如图4-32所示绘制的多边形。图4-32 多边形的绘制 3)设置多边形属性。单击鼠标右键,或在绘制多边形的命名状态下按Tab键,系统将弹出如图4-33所示的设置多边形属性对话框“多边形”,可以在对话框内设置多变形的有关参数。 图4-33 多边形属性编辑对话框 图4-33中多边形属性的各项

33、参数意义如下。 填充色:多边形内部填充的颜色的设置。 边缘色:多边形边框的颜色设置。 边框宽:边框的宽度设置,右边的下拉菜单有4个选项最细、细、中等、粗。画实心:当选中该复选框时,多边形内部有填充中设置的颜色填充。 (3)绘制椭圆弧和圆弧圆弧是椭圆弧的特殊形式,当椭圆的长轴和短轴相等时,就是一个圆。绘制椭圆弧的步骤如下。1)执行菜单命令【放置】/【椭圆弧】。2)绘制椭圆弧。执行绘制椭圆弧命令后,光标变为十字形,绘制椭圆弧时单击鼠标是有顺序的,千万不能弄乱。否则将画不出所需要的椭圆弧。第1次单击鼠标确定的中心,第2次单击,确定椭圆长轴,第3次单击,确定椭圆短轴,第4次单击鼠标,确定椭圆的起始角度

34、,第5次单击鼠标,确定椭圆的终止角度。最后单击鼠标右键或按Esc键退出。如图4-34所示。3)设置椭圆弧属性。单击鼠标右键,或在绘制椭圆弧的命令状态下按“Tab”键,系统将弹出如图4-35所示的设置椭圆弧属性对话框,可以在此对话框内设置椭圆弧的有关参数。 图4-34 绘制的椭圆弧 图4-35 椭圆弧属性对话框另外,绘制圆弧的方法与绘制椭圆弧的方法一样,读者可以自己尝试绘制一个圆弧。 (4)绘制贝塞尔曲线 贝塞尔曲线是一种表现力十分丰富的曲线,可以用它来拟合正弦波、抛物线等曲线。绘制贝塞尔曲线的步骤如下。 1)执行菜单命令【放置】/【贝塞尔曲线】。 2)绘制贝塞尔曲线。现在,在图纸上绘制一个正弦

35、波,执行画贝塞尔曲线命令后,光标变为十字形。请设计者注意,绘制贝塞尔曲线,单击鼠标的位置是有顺序的,在不同的位置单击可以得到不同的曲线。 3)设置贝塞尔曲线属性。单击鼠标右键,或在绘制贝塞尔曲线的命令状态下按“Tab”键,将弹出如图4-36所示的设置贝塞尔曲线属性对话框,可以在此对话框内设置贝塞尔曲线的有关参数。 图4-36 绘制的贝塞尔曲线图4-37 贝塞尔曲线属性对话框 (5)绘制矩形 Protel DXP 2004 SP2还提供了另外几种绘制工具,如矩形、圆角矩形、椭圆、圆、饼图。这几种工具的使用方法基本相同,并且比较简单。这里只介绍如何绘制矩形,其他图形的绘制可以参照矩形的绘制方法。绘

36、制矩形的命令步骤如下。 1)执行菜单命令【放置】/【矩形】。 2)绘制矩形。执行命令后,光标变为十字形,并带有一个矩形库。第一次单击目标确定矩形位置的一个顶点,第2次单击鼠标确定矩形位置的另一个顶点,即可在电路图中绘制一个矩形。最后单击鼠标右键或者按“Esc”键退出。一般将矩形作为元器件符号的主体。 3)设置矩形属性。如果对绘制的矩形不满意,可以单击鼠标右键,将弹出如图4-38对话框所示的设置矩形属性对话框,可以在此对话框内设置矩形的有关参数。 图4-39 矩形属性对话框 图4-38 绘制的矩形 (6)放置文字 在绘制元器件时,文本文字是对图形的重要补充,在某些关键的地方添加文字说明,可以增加

37、设计的易读性,便于工程技术人员之间的交流。启动文字编辑的步骤如下。 1)执行菜单命令【放置】/【文本字符串】 2)放置文字。执行命令后,光标变为十字形,并带有一个虚线框,在欲放置文字的地方单击鼠标左键,在该处会出现一个名为“Text”的字符串,并可以继续放置第二个字符串。全部放置好后,最后单击鼠标右键或者按“Esc”键退出。 3)设置文字属性。如果对放置的字符串不满意,可以单击鼠标右键,将弹出如图4-40对话框所示的设置放置文字属性对话框,可以在此对话框内设置文字的有关参数。 图4-40 文本对话框属性设置(7) 插入图片插入图片的操作步骤如下。1)执行菜单命令【放置】/【插入图片】。 2)插

38、入图片。执行命令后,在绘制页内会出现一个随光标移动的矩形预拉区域。在要放置图片的区域左上角单击鼠标左键,然后再将光标拖动到所要放置区域的右下角,单击鼠标左键后,则会弹出如图4-41所示的插入图片对话框。可在搜寻栏中选择要插入图片所在的文件夹,在文件类型中指定图片的格式,然后在文件列表中选择所要插入的图片的文件名,单击“打开”按钮即可插入图片。这时,在这个区域中就会显示所插入的图片。如若需要可继续插入图片,否则可单击图4-41对话框中的取消按钮。插入的图片如图4-42所示。图4-41 插入图片对话框 图4-42 插入的图片3)图片属性对话框。如果在插入过程中,按下“Tab”键或单击鼠标右键,则会

39、弹出如图4-43所示的图片属性对话框。 图4-43 图片属性对话框4. 绘制TDA1521元器件(1)绘制TDA1521主体执行菜单操作命令【放置】/【矩形】,在坐标原点单鼠标左键定义矩形块起点,移动光标在第四象限拉出80*130的矩形块,再次单击鼠标左键确定矩形块的终点完成矩形块的放置,单击鼠标右键退出放置状态。如图4-44所示。 图4-44 放置矩形(2)放置引脚 执行菜单操作命令【放置】/【引脚】,光标上粘附着一个引脚,单击键盘的空格键可以选择引脚的方向。在放置到矩形边时,一定要保证具有电气特性的一端,也就是带交叉线的一端朝外。如图4-45所示。在放置的引脚的时候,在放置管脚的时候,按T

40、ab键,设置管脚属性。按照TDA1521的功能放置9个引脚,放置完毕,如图4-46所示。图4-45 引脚放置示意图 图4-46 放置引脚完毕的TDA15214.1.3 元器件属性设置 元器件属性包含元器件属性和元器件引脚属性设置。1.元器件属性设置 元器件的正确使用还需要设置元器件属性。在元器件库文件面板的原理图符号名称列表中,双击元器件的名称,如双击“TAD1521”,则系统弹出如图4-47所示对话框。所示对话框。元器件属性包括有4部分:属性选项区域、图形选项区域、参数选项区域、元件模型区域四部分。 图4-47 元器件属性对话框 (1)属性选项区域 【Defualt Designator】。

41、默认元器件符号,在该元器件原理图符号放到原理图文件中,最初默认显示的元器件序号,此时设置为U*,并在其后选中Visible复选框,则在放置该元器件原理图符号时U*就会显示在原理图纸上,否则不显示。 【注释】。该编辑框可以设置元件的注释。如将该元件注释设置为“TDA1521”,可以选择或者直接输入元件的注释,选中其后的Visible复选框,则可以显示该注释,否则不显示。 【库参考】。元器件标识。它是元器件在DXP中的标示符,为了区别于DXP提供的元器件原理图符号,此处设置为TDA1521。 【描述】。对元器件符号的描述,此处设置为“gongfang” 【类型】。元器件符号的类型,此处采用默认值S

42、tandard。如图4-48所示。 图4-48 属性选项区域(2)图形选项区域 【模式】。在Mode下拉列表框中默认选择Normal,并选中显示图纸上全部引脚(即使是隐藏)。 【局部颜色】。将采用元器件符号本身的颜色。 【锁定引脚】。元器件符号的管脚将和元器件合成一个整体,无法在原理图上单独移动管脚,建议设计者一定要选中。否则将给电路原理图的绘制带来很多不必要的麻烦。如图4-49所示。 单击属性对话框的左下角的“编辑属性”按钮可以编辑该元器件原理图的引脚。如图4-50所示。单击对话框的“确认”按钮退出管脚对话框。 图4-49 图像选项区域图4-50 元器件引脚属性 (3)参数选项区域 参数的意

43、义在于定义更多的有关于元件的附近信息。诸如定义元件厂商或日期的数据字符串都可以被添加到文件中。一个字串参数也可以作为元件的值在应用时被添加,例如:100K 的电阻。参数被设置为当在原理图上摆放一个器件时作为特殊字串显示。可以设置其他参数作为仿真需要的值或在原理图编辑器中建立PCB 规则。单击参数区域“追加”按钮,弹出如图4-51所示对话框。 图4-51 参数属性设置对话框 (4)模型选取区域在模型选取区域,单击“追加”按钮,就可显示模型选择对话框。同样亦是对于元件进行PCB封装的介绍。在稍后的章节会进行具体讲解。在此不在赘述。 (5)TDA1521属性设置 对TDA1521进行属性设置以后,得

44、到如图4-52所示的对话框,单击“确认”按钮,完成对TDA1521的属性设置。如图4-53是TDA1521的元器件库编辑器的界面显示。 图4-52 TDA1521元器件属性对话框 图4-53 TDA1521元器件库编辑器2. 元器件引脚属性设置在对元器件属性设置以后,还需要对元器件的引脚属性进行设置。依据功能,需要对TDA1521的9个管脚进行相应的设置。选中TDA1521的0引脚,单击右键,弹出如图4-54所示的快捷菜单,选择“属性”快捷菜单,弹出如图4-55所示的引脚“0”属性。在图4-55中,显示名称修改为“1”,不选择“可视”复选框。标示符修改为“1”,选择“可视”复选框。修改完毕之后

45、如图4-56所示,单击“确认”按钮。则TDA1521的引脚如图4-57所示。按照原理图的要求,依次设置完毕的TDA1521的9个引脚如图4-58所示。 图4-54 引脚右键快捷菜单 图4-55 引脚属性对话框图4-56 引脚属性修改完毕图4-57 引脚1属性修改 图4-58 引脚设置完毕的TDA15214.1.4 双联电位器的设计 1. 双连电位器 电位器实际上就是可变电阻器,它在电路中的作用是获得与输入电压(外加电压)成一定关系得输出电压,因此称之为电位器。双联电位器其实就是两个相互独立的电位器的组合,在电路中可以调节两个不同的工作点电压或信号强度,扩音机电路中的的音量调节电位器就是双联电位

46、器,可以同时分别调节两个声道的音量。图4-59是双联电位器实物图,图4-60是双联电位器的内部连线图。 图4-59 双联电位器实物 图4-60 双联电位器内部结构图2. 双联电位器的绘制 双联电位器内部包含两个独立的电位器,所以可以分成两部分来绘制。 (1)执行菜单操作【工具】/【新元件】,在弹出的对话框中输入sldwq,单击“确认”按钮。则元器件编辑器界面如图4-61所示。图4-61 添加“sldwq”的元器件编辑器 图4-62 引脚属性设置对话框 (2)绘制第一个部件。执行菜单操作命令【放置】/【矩形】。执行菜单命令【放置】/【管脚】后,按“Tab”键,弹出如图4-62所示的【引脚属性】对

47、话框或者双击已放置的引脚,也可以出现此对话框。对此管脚进行如图4-63的设置。再将管脚放置与矩形上时候,一定注意管脚的电气特性。执行菜单命令,继续放置气体的2,3,引脚属性设置参照图4-62所示属性。完成第一个子部件的设置。执行两次菜单操作命令【放置】/【多边形】,将管脚2与矩形的连接处变为如图2-63所示。 (3)绘制第二个子部件,即另一个电位器。单击标准工具栏中的选择区域内对象按钮或者选择【编辑】【选择区域内对象】菜单命令,将刚才所画电阻器符号全部选中,然后单击标准工具栏中的复制按钮,将选中的原理图符号进行复制。 图4-63 绘制好的电位器符号 图4-64 复制第一个子部件 (4)执行菜单

48、操作命令【编辑】 【粘贴】。执行该命令后, 如图4-65所示。按照绘制第一个子部件的方法把第二个子部件的三个管脚分别修改为:“4”、 “5”、 “6”。执行三次菜单操作命令【放置】/【直线】,在放置过程中,按Tab键,在弹出的对话框中,设置线风格为“Dashed”。完成上述步骤后,得到的原理图符号如图4-66所示。 图4-66 绘制完毕的双联电位器图4-65 添加第二个子部件任务任务4.2 4.2 扩音机电原理图设计扩音机电原理图设计 1. 在项目中建立原理图文件 (1)创建项目。执行菜单操作命令【文件】/【创建】/【项目】/【PCB项目】,新建一个项目文件。 (2)保存项目。执行菜单操作命令

49、【文件】/【保存项目】,将新建的项目保存为“功率放大器.PrjPCB”。 (3)执行菜单操作命令 【文件】/【创建】/【原理图】,新建一个原理图文件。 (4)单击工具栏上的保存按钮,弹出文件保存对话框,将新建原理图文件保存为“sheet1.SchDoc”。4.2.1 新建电原理图、设置工作环境和图纸 2. 2.原理图工作环境设置执行菜单操作命令【工具】/【原理图优先设定】/【Schematic】/【General】选项设置卡.主要包含下面8个区域。如图4-67所示。 (1)选项区域 该区域共有11个复选框。其中几个重要选择的意义如下所示。【正交方向拖动】 选中该复选框,设计者在画导线时,就会在

50、导线的T字相接处自动产生节点,而十字相接处不会产生节点;如果不选该选项,则无论在T字或十字相连接处都不会自动产生节点,设计者需手动添加节点。【优化导线及总线】选中该复选框,可以防止多余的导线、多段线或总线相互重叠,相互重叠的导线和总线等会自动去除。【元件剪切导线】只有在选中“优化导线及总线”复选框,该复选框才可被选择,选择时可以拖动一个元件到原理图上,导线被切割成两段,并且各导线能自动连接到该元件的敏感管脚上。【放置后编辑有效】选中该复选框后,用户可以嵌套对象进行编辑。【Ctrl+双击打开图纸】选中该复选框以后,则按“Ctrl”键后双击鼠标左键就可以打开原理图文档;否则不具备此功能。 (2)字

51、母/数字后缀区域设置元件标示的后缀,有些元件内部是由多个部分组成的。可通过该区域设置其后缀。【字母】选择该选项则后缀以字母标示。【数字】选择该选项则后缀以数字表示。 (3)引脚间距区域此区域的功能是设置元件符号上引脚名称、引脚号与元件符号边缘的间距。【名称】该选项用于设置引脚名称与元件符号边缘的间距,系统默认间距为5mil(1in=1000mil)。【数字】该选用用于设置引脚号与元件边缘的间距,系统默认间距为8mil。这些设计者可以在实际设计中,自行去体会间距的问题。不再以图片进行展示。 (4)默认电源元件名区域 此区域用于设置默认的电源接地名称。 【电源地】。设置电源地的默认名称。如GND。

52、 【信号地】。设置数字地的默认名称。如SGND。 【接地】。设置电源地的默认名称。如EARTH。 (5)剪贴和打印时包括区域该区域主要用来设置使用剪切板或打印时的有关参数。【非ERC标记】若选中此复选框,则使用剪切板进行复制操作或打印时,对象的“非ERC标记”标记将随对象被复制或打印。否则,复制或打印时,将不包括“非ERC标记”标记。【参数组】若选中该复选框,则使用剪切板进行复制操作或打印时,对象的参数设置将随对象被复制或打印。否则,复制或打印对象时,将不包括对象参数。(6)放置时自动增量区域该区域主要用来设置元件标识及引脚号的增递量。【主增量选项】该选项用来设置在原理图上连续放置同一种元素,

53、元件标识的自动递增量。当输入框内输入正数时(假设为2),如图4-68所示,新放置的元件标识将以R1,R3,R5,(假设元件标识用R开头)的形式递增。当在输入框内输入负数时,新放置的元件标识将以输入数字进行递减。【次增量】该选项用于创建原理图符号时,引脚号的递增量。(7)默认区域该区域用来设定缺省模板文件。当一个模板设置为缺省模板后,每次创建一个新文件时,系统自动套用模板。这适合于固定使用某一模板的情况。系统默认值为“No Default Template File”,表示没有设定缺省模板文件。要设定模板文件时,可单击如图4-67的浏览按钮。在弹出的对话框中,例如现在可以在系统默认“C:Prog

54、ram FilesAltium2004Examples”目录下选择安装系统自带的原理图模板(*.SchDot),选择需要的原理图模板,最后单击“打开”完成模板设置,默认值变为选择模板的名字。要取消缺省模板文档,可单击图4-67中的“清除”按钮,使默认值变为“No Default Template File”。图4-67 设置原理图环境对话框图4-68 元件标识按正数2自动递增 3. 原理图图形编辑环境设置 在图4-67中单击“Graphical Editing”,则显示如图4-69所示的“Graphic Editing”选项卡。在图形编辑“Graphical Editing”选项卡内可以完成与

55、绘图有关的选项(如光标类型、栅格类型、栅格颜色、后退或重复操作次数等)设置。(1)选项区域在该区域内可设定原理图文档的操作属性。下面讲述几个比较重要的选项的意义。 【剪贴板参考】。该选项的功能是设置将选取的图元“复制”和“剪切”到剪切板时,是否指定参考点。如果选中此项,则在进行“复制”和“剪切”时,系统会要求指定参考点,光标变为十字状,单击鼠标左键,所选择的图元才会被复制到剪切板里;将剪切板中的图元黏贴到电路图上时,将以参考点为基准。如果没有选择此项,进行“复制”和“剪切”时系统不会要求指定参考点。【加模板到指定剪切板】。选项的功能是将土元“复制”和“剪切”到剪贴板,是否将当前文档所使用的模板

56、一起复制到剪切板。该功能非常有用,当取消该选项时,可以直接将原理图复制到Word文档。否则,所复制的原理图将包含整个图纸。 【转换特殊字符串】。该选项的功能是将特殊字符串装换成相应的内容。若选中此项,则将电路图中的特殊字符串转换成它所代表的内容。否则,电路图中的特殊字符将不进行转换。 图4-69 “Graphical Editing”选项卡【对象的中心】。该选项的功能是设定移动元件时,光标捕捉的是元件参考点还是元件的中心。若选中此选项,则将电路图中的特殊字符串转换成它所代表的内容;否则,电路图中的特殊字符串将不进行转换。【对象的电气中心】。若选中该选项,当移动或拖动对象时,光标将自动滑行到最近

57、的热点(比如元件的引脚末端)。否则,光标将按“对象的中心”选项设置变化。【自动缩放】。该选项用来设定档跳转到某元件时,是否自动调整视图显示比例,以适合显示该元件。【单一表示负】。若该选项有效,将在原来网络名字第一个字母的前面加上一个代表反斜杠“”。这里的网络名字可以是端口及网络标签等。 【单击清除选择对象】。若选中该复选框,可以通过单击原理图编辑窗口内的任意位置来解除对象的选择状态。否则,单击原理图编辑窗口内已选中对象以外的任意位置,均不能解除对象的选择状态,此时,需要通过执行菜单命令【编辑】/【取消选择】,来解除对象的选择状态。在任何情况下,都可以通过单击已选对象来解除选择状态。【双击运行检

58、测器】。若选中该选项,当在原理图上双击一个对象时,弹出的将不再是对象属性对话框,而是如图4-70所示的“Inspector”对话框。图4-70 “Inspector”对话框(2)自动摇景选项 “自动摇景选项”区域主要设置系统的自动摇景功能。摇景是摄影学中的一项技术,用于拍摄全景。自动摇景是指当光标处于放置图纸组件的状态时,如果将光标移到编辑区边缘时,图纸边界会自动向窗口中心移动,以便使图纸进入可视区域。如图4-71所示,在“自动摇景选项”区域,可以设置下列选项。 图4-71 自动摇景 图4-72 摇景模式列表 【风格】。该选项用于设置自动摇景模式。单击选项右边的下拉菜单列表则会弹出如图4-72

59、的摇景模式列表。模式中,“Auto Pan Off”,取消自动摇景功能。“Auto Pan Fixed Jump”,以“Step Size”和“Shift Size”所设置的值进行自动移动。“Auto Pan ReCenter”重新设定编辑区的中心位置,以光标所指的边作为新的编辑区中心。 【速度】。调节滑块可设定自动移动的速度,向右拖动滑块使移动速度加快。 【步长】。该选项的功能是设置每次移动的步距。图纸设置的值为“30”,即每次边移30个像素点数。该项数值越大,图纸移动速度越快。 【Shift步长】。本选项的功能是设置按下“Shift”键时,每次移动的步距。图中的设置值为“100”,即按下“

60、Shift”键,每次移动100个像素点数。4)取消/重做区域在该区域内可设置撤销或重复前面操作的次数及预留堆栈的大小。 【取消/重做】。用来设置撤销或重复前面操作的次数。如果对前面的操作不满意,可以撤销或重复前面操作,即单击标准工具栏上的撤销图标来恢复到操作前的状态。【栈尺寸】。“栈尺寸”输入框内的数字用来设定堆栈的大小,简单说就是设定可以撤销或重复操作的次数。原则上说撤销或重复操作的次数可以设定为无限次,但设定的次数越多,系统所占用的次数就越大,这样就将会影响到编辑操作的速度。系统默认的堆栈尺寸为“50”,实际上设为“30”就可以满足常规要求。(1)选项区域 选项区域主要用于对原理图图纸的大

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论