数字电路的软件仿真—Multisim 10的应用_第1页
数字电路的软件仿真—Multisim 10的应用_第2页
数字电路的软件仿真—Multisim 10的应用_第3页
数字电路的软件仿真—Multisim 10的应用_第4页
数字电路的软件仿真—Multisim 10的应用_第5页
已阅读5页,还剩67页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第十章 数字电路的软件仿真 Multisim 10的应用 10.1 概述10.2 根本操作方法本章小结10.3 实验电路生成方法10.4 数字电路仿真10.5 仿真模式设置10.6 模拟电路仿真学习目标了解EDA软件的功能了解构建仿真电路的根本过程了解虚拟设备与仿真 Multisim10具有以下主要特点:1集成化、一体化的人性设计环境 2界面友好、操作简单 3真实的仿真平台 4分析方法多而强 5. 可以跨平台作业10.1.1 功能与特点10.1 概述10.1.2 运行环境要求 名称 最 小 配 置 推 荐 配 置操作系统Windows NT4 /SP6 / XPWindows XP处理器Int

2、er Pentium III AMD K6Pentium IV AMD K7内存256MB RAM 512 MB RAM光驱CD-ROMCD-ROM显示分辨率8006001024768硬盘空间余量720MB1GB如果计算机系统配置较低,那么Multisim10启动较慢,但运行以后就正常了,但如果内存偏小,图形仿真时要经常清理Grapher View中Pages缓存,否那么计算机很容易Down机的。10.1.3 汉化方法Multisim10 目前没有官方的汉化版本,有一些不是很专业的汉化软件,由于汉化软件并非专业,翻译的内容不是很准确,因此汉化后软件菜单与实际内容会有一定的差异,建议用原版软件,

3、同时安装一个可以在线翻译的程序,借助于在线翻译软件,可以大致了解专业词汇,还可以熟悉一下专业英语。10.2 根本操作方法10.2.1 工作界面构成10.2.2 软件菜单10.2.1 工作界面电路描述框元器件菜单基本工具栏主菜单图形注解工具仿真仪表栏“在用器件”列表在用电路列表器件列表察看电路窗口运行开关运行状态栏运行时间 状态10.2.2 软件菜单File 文件管理操作Edit 文件编辑View 工作区域状态显示Place 元器件操作MCU 微处理器Simulate 仿真方式选择Transfer 文件格式转换Tools 各种工具Report 电路状态列表Options 软件设置选项Window

4、s 视窗Help 帮助1. 主菜单: 包含软件所有操作和功能菜单工程及功能2. 主元器件菜单:(Components) 元器件放置菜单 电源基本元件二极管三极管模拟器件混合器件显示器件TTLCMOS多种数字器件电源器件外围设备RF器件MCU总线其它器件电机子电路主元器件每一项菜单都是个类别,其中有许多同类型器件可供选择。3. 仪器仪表菜单:(Instruments)虚拟仪器汇总 VIABGTABCDGTINOUT123CQT1FRTXOXXOO0161531A BTektronix1234TGPAgilentAgilentP1P2TINTHDAgilent万用表信号发生器双踪示波器四踪示波器波

5、特仪频率计字符信号发生器逻辑分析仪阶梯仪逻辑转换仪失真分析仪频谱分析仪网络分析仪安捷伦信号发生器安捷伦万用表信号测量探头安捷伦示波器LabVIEW仪器TEK示波器电流互感器功率表10-5 仪器仪表菜单介绍4. 主菜单各栏目文件管理 文件管理主要是对软件新建、调用等软件根本工作工程的管理。与大多软件一样,程序内部有许多仿真样例可供参考,建议首次使用者,首先调用样例进行参考模拟。 这样将会事半功倍。翻开示范文件 文件编辑 排序旋转电路(元件)属性查看修改 电路(元件)属性印制板(PCB)电路图幅连接线字体可视性放置元器件放置(子)电路放置图形放置注解剪切复制粘贴删除选择所有标注无连接清除错误标志以

6、子电路粘贴以分层块替代以子电路替代字体属性鼠标右键菜单 电路查看 工具栏栏目内容查看图形仿真结果:Grapher View图形记录仪记录下的示波器仿真图形 元器件 (PLACE)放置菜单 元器件放置有几种方法:从器件放置菜单中选择对应项后再选定器件型号;从软件快捷项工具栏中共有12项与元器件有关项中直接选取。节点从Place菜单项放置器件、节点、连接线从Components等快捷菜单项选择取、放置器件各类元器件汇总MCU绘图工具虚拟器件电源器件晶体管信号源测量元件混合器件根本器件特定器件二极管模拟器件MCU编辑菜单 无MCU元器件MCU格式编译MCU窗口显示行号跳转to超越到跳出到光标设置断点

7、取消断点暂停MCU选取菜单 电路仿真运行菜单 后处理分析仪器缺省初始值初始条件分析手段(仿真)开始时间(仿真)结束时间设置最大时间步长最大时间步长自动调整时间步长其它选项设置初始时间步长初始时间步长估算最大时间步长分析选项设为缺省值电路仿真菜单设置电路转换 转换到Ultiboard 10转换到Ultiboard 9输出至PCB注释到Ultiboard 10注释到Ultiboard 9及以前版本从Ultiboard 写回注释高亮Ultiboard 选项输出电路网络表 转换 工具箱 电路参数列表 网络清单参数设置选项 新建窗口关闭窗口关闭所有层叠窗口水平排列垂直排列在线电路名称窗口设置菜单帮助菜单

8、 10.3 实验电路生成方法10.3.1 选择元器件:Select a Component元器件搜索菜单器件搜索器件详情器件模型数据库类型元器件名称 元器件符号 操作方式元器件组别元器件系列10.3.2 设置电源、信号源、接地端Miltisim10有多种电源、信号源、受控信号源,接地有模拟地 、数字地 ,如果一个仿真电路中没有一个参考的接地端0节点,电路将无法进入模拟、仿真运行状态。连接在接地端的网络Net Name缺省值都是0节点。10.3.3 元器件之间连接Multisim10元器件引脚连接线是自动产生的,当鼠标箭头在器件引脚(或某一节点)的上方附近时,会自动出现一个小十字节点标记,按动鼠

9、标左键连接线就产生了,将引线拖至另外一个引脚处出现同样一个小十字节点标记时,再次按动鼠标左键就可以连接上了。如果要得到折线,就必须在连接线直角处拖动引线产生折线,如以下图所示圆圈处为拖动点。 拖动前拖动后在空白处,快速点击鼠标左键两次就是节点;用快捷键Ctrl+J,然后点击鼠标左键一次,也可放置一节点;用Ctrl+T,可以在空白处添加文字;用Ctrl+T,可以翻开元器件放置菜单;用Ctrl+R,可旋转器件;用Alt+X, 可依水平翻转器件;用Alt+Y, 可以垂直翻转器件快捷键的使用修改器件属性和参数采用原理图设置显示标签显示器件值显示初始值显示误差显示参考名称显示属性显示管脚号显示管脚名显示

10、变量按系统显示管脚名按系统显示管脚号重置文本位置信息标签 显示 值 故障 管脚 变量 用户区域所属器件原来位置移动后位置器件型号器件参数显示数值调整过程移动过程 移动后 移动前元器件参数数值显示位置的修改是为了图面个性化显示的需要,也为了图面清晰整洁。提取器件逻辑符号,获取器件方法简要说明 所有器件功能表功能表说明 本器件10.3.5 电路规那么检查错误标记点错误提示如果程序运行出现故障,可以在Tools菜单项中运行Electrical Rules Check,得到错误标记和提示。10.3.6 选择测试仪器仪表万用表信号源功率表二踪示波器四踪示波器波特仪频率计字符发生器逻辑分析仪逻辑转换仪阶梯

11、仪失真分析仪频谱仪网络分析仪安捷伦信号源安捷伦万用表安捷伦示波器泰克示波器测量探头LabView仪表电流探头 要取得电路仿真结果,就需要选择适宜的测试仪器仪表,以获取仿真的结果,从仪器仪表中得到的仿真的结果根本与实际测试结果一致。 如:TEK示波器、安捷伦仪器都是仿照实际设备设计的,如果想熟练掌握、运用这些仪器设备,最好找一本相关设备的说明书,在运用中才能得心应手。 10.4 数字电路仿真数字电路仿真的根本要求1要熟悉器件 使用Multisim10对数字逻辑电路进行分析与设计,必须要掌握几点: 对器件可以直接调用,再按照原理图搭建电路后再进行分析和设计; 对不熟悉的器件应该从帮助菜单或器件属性

12、修改界面的“Info选项进入,查找器件的功能和使用方法,参照图10-31,或查找其它相关资料。2选择、设置适宜的信号源 用信号源、振荡电路均可产生连续的数字信号,也可用开关、或对信号源、振荡电路设置产生控制脉冲信号。频率、占空比等动态参数设置对于仿真结果起很大的作用。 正负脉冲信号源设置界面正脉冲幅值负脉冲幅值偏移电压占空比频率/周期上升时间下降时间延时/延时率有效占空比替换三种综合信号发生器虚拟综合信号发生器安捷伦信号发生器LabView信号发生器(3)获取仿真结果形式:直流工作点电路参数值图形有数码和波形两种谐波分析数据以文字方式为主数据、图形 数字脉冲专用测试仪频率计,包含脉冲的许多参数

13、指标。TEK四通道示波器。与实际示波器使用方法相似,可以参阅TEK同类型任意一款数字示波器的使用说明书,就可以大致了解TEK示波器使用方法了。Agilent示波器。使用方法与TEK示波器大同小异,唯一的区别是:Agilent示波器是MSO数模混用示波器。有16个逻辑通道,2个模拟通道。TEK示波器Agilent示波器10.4.2 组合逻辑电路的仿真1逻辑函数的化简 前面章节我们学过逻辑函数的化简,公式法和卡诺图法。公式法可以对任意变量函数化简,但是化简难度较大,卡诺图那么对 4 变量以下逻辑函数的化简比较方便,但是 4 变量以上的逻辑函数的化简那么难度加大了。在Multiism 10 中,逻辑

14、函数的的化简就显得非常的轻松,因为它有一个很实用的逻辑转换仪。 逻辑转换仪可以实现逻辑函数的化简,以及函数几种表达式之间相互的转换。下面介绍逻辑转换仪的使用:逻辑转换仪图10-45 逻辑转换仪界面逻辑函数表达式输入/或化简后输出输入变量输出变量逻辑图真值表真值表最小项表达式真值表最简表达式表达式真值表表达式逻辑图表达式与非逻辑图逻辑函数化简过程公式法逻辑变量输入端输入逻辑函数 A+C+D先点击A|B10|1再点击10|1 A|B最终化简结果化简在此“ 表示逻辑非逻辑函数化简过程逻辑图函数式点击 A|B,就可以得到化简的逻辑函数分别将逻辑图中 输入 输出 接在逻辑转换仪上;集成组合逻辑器件也可以

15、用逻辑转换仪得到函数表达式变量输出变量输入3真值表函数表达式直接将真值表填入逻辑转换仪,表示约束项4组合逻辑电路的分析与设计函数表达式,逻辑转换仪可以直接给出逻辑图任意门实现与非门实现组合逻辑电路逻辑测试“总线应用BUS1 74LS138输入波形BUS1 74LS148输出波形BUS2 74LS148输出波形在组合逻辑测试电路中,为了简化逻辑图,在图中设立了BUS1、BUS2两个总线,将相关的测试点接入总线,这样逻辑图中就减少了逻辑连线。总线上可以挂接任意连接点。仿真电路中每一根导线都有一个网络Net Name标号,只要网络的标号相同,导线就连接在一起。10.4.3 时序逻辑电路的仿真对时序电

16、路的仿真,首先必须熟悉器件的功能及其特点,功能表的使用方法见图 10-31 说明。下面以实例说明:【例10-1】用两种方式分别设计一个可以用BCD 显示器直接显示的24进制计数器。以74290和74161为例实现 74290二-五-十进制计数器,74161四位二进制计数器。如果不熟悉器件,可以在器件属性信息栏Info上搜寻器件的功能表及简要说明,如果说英文说明可能理解有一点困难,但是功能表应不难理解。功能说明功能表图中虚线框表示的是当计数到(24)D或(00100100)B时产生置“0异步复位信号的地方。24脉冲输入023就是24进制用74LS290构成24进制计数器74LS161是4位二进制

17、计数器,计数范围为015,我们设计目标是BCD码显示的24进制计数器,个位显示09,十位显示02。 09 02 023 十位显示02控制目标:个位显示09整体显示023【例10-2】 分析图10-58时序逻辑电路逻辑功能 10个CP分析过程:先画出逻辑图,然后仿真,再读取数据。十进制计数器图10-59 状态变量输出波形10.5 仿真模式设置10.5.1 仿真启动与停止启 暂 停 动 停 止 启/ 停 暂停 MCU运行、编辑操作快捷键: F5运行/停止 带MCU控制功能仿真开关Multisim 10 运行/停止 可以用菜单,也可以用快捷键。普通开关10.5.2 仿真模式与仿真参数设置所有仿真模式

18、:1. 直流工作点分析界面待选节点参数过滤已选参数变量增加器件/模型中参数测试删除所选参数仿真结束后显示所有器件参数存储选择变量已选节点参数过滤未选参数变量设置完成后按仿真Simulate)电路的静态工作点DC分析,对于模拟电路分析十分重要,不仅电路运行需要设置,而且可以通过DC分析求出电路的静态参数数据。静态参数指:输入为零 电容开路、 电感短路。内部参数设置内部参数设置界面内部参数设置汇总分析结果直流工作点设置、分析过程2. 交流分析分析电路在小信号作用下的频率响应。 3. 瞬态分析分析电路在时域范围内某一期间的响应。 4. 傅立叶分析分析节点上信号的各次谐波含量能量分布。 5. 噪声分析

19、分析电路中的器件或电路本身的噪声大小。 图10-67 交流分析运行、设置界面6. 噪声系数分析 通过一个噪声系数来描述电路中的器件或电路本身的噪声大小。 7. 失真分析分析某个节点输出信号线性、非线性失真 。8. 直流扫描分析分析电路的静态工作点参数随电源变化的情况。分析电路中节点电压、电流对电路中元器件参数的敏感程度。 9. 灵敏度分析10. 参数扫描分析扫描电路中元器件参数变化时对电路的影响。分析当环境温度变化时对电路的影响。 11. 温度扫描分析分析电路的等效传输函数在小信号时的零极点。12. 零极点分析分析电路中两个节点之间的传递函数或阻抗。13. 传递函数分析统计分析方法:分析电路最坏可能性,是电路可靠性分析

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论