EDA汽车尾灯控制器设计培训资料_第1页
EDA汽车尾灯控制器设计培训资料_第2页
EDA汽车尾灯控制器设计培训资料_第3页
EDA汽车尾灯控制器设计培训资料_第4页
EDA汽车尾灯控制器设计培训资料_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、Good is good, but better carries it.精益求精,善益求善。EDA汽车尾灯控制器设计-课程名称:EDA课程设计设计题目:汽车尾灯控制器的设计院系:电气工程系专业:电子信息工程年级:2007级姓名:梁晓指导教师:许金福学号:20077823西南交通大学峨眉校区2010年10月日课程设计任务书专业电子信息工程姓名梁晓学号20077823开题日期:2010年10月日完成日期:2010年11月日题目汽车尾灯控制器设计一、设计的目的本次设计的目的就是通过实践深入理解状态机原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。通过学习的VHDL语言结合电子电路的设

2、计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高分析、解决EDA技术实际问题的独立工作能力。二、设计的内容及要求1、设计内容设计一个汽车尾灯控制器,利用EDA软件(MAX+plusII)进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法和原理图输入法,通过仿真查看设计的可行性,因条件有限,只能进行简单的仿真实验。2、设计要求(1)汽车尾部左右两侧各有多盏指示灯。(2)汽车正常行驶时指示灯都不亮。(3)汽车右转弯时,右侧的一盏指示灯亮。(4)汽车左转弯时,左侧的一盏指示灯亮。(5)汽车刹车时,左右两侧的一盏指示

3、灯同时亮。(6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用。三、指导教师评语四、成绩指导教师(签章)年月日汽车尾灯控制器设计1引言随着集成电路和计算机技术的飞速发展,EDA技术应运而生,它是一种高级、快速、有效的电子设计自动化技术。EDA将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的集成度和可靠性。运用EDA技术可以方便、快捷设计电路系统。本文基于EDA系统,在MAX+plusII软件平台上,完成了汽车尾灯电路的设计。采用VHDL硬件描述语言描述汽车尾灯电路,完成对电路的功能仿真。在设计过程中,重点探讨了汽车尾灯电路的设计思路和功能模块划分,通过分

4、析仿真波形表明设计的汽车尾灯电路完成了预期的功能。2汽车尾灯控制器的设计过程2.1设计内容设计一个汽车尾灯控制器,利用EDA软件(MAX+plusII)进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法和原理图输入法,通过仿真查看设计的可行性,因条件有限,只能进行简单的仿真实验。2.2设计要求(1)汽车尾部左右两侧各有多盏指示灯。(2)汽车正常行驶时指示灯都不亮。(3)汽车右转弯时,右侧的一盏指示灯亮。(4)汽车左转弯时,左侧的一盏指示灯亮。(5)汽车刹车时,左右两侧的一盏指示灯同时亮。(6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用2.3汽车尾灯控制器的工作原理汽车尾灯控

5、制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯ldright亮;当汽车向左侧转弯时,汽车左侧的指示灯ldleft亮;当汽车刹车时,汽车右侧的指示灯ldbrake1和汽车左侧的指示灯ldbrake2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯ldnight1和汽车左侧的指示灯ldnight2同时一直亮;当于大雾天行驶时右侧指示灯ldfoggy1和左侧指示灯ldfoggy2同时亮。通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night,雾灯信号foggy和系统的

6、输出信号:汽车左侧4盏指示灯ldleft,dbrake1,dnight1,dfoggy1和汽车右侧4盏指示灯ldright,ldbrake2,ldnight2,ldfoggy2实现以上功能。系统的整体组装设计原理如图所示:汽车行驶信号主控模块左灯控制模块右灯控制模块显示时钟系统设计整体框图2.4方案选择与论证根据系统设计要求,系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方案,它是由时钟分频模块、汽车尾灯主控模块、左边灯控制模块、右边灯控制模块四部分组成。2.5系统设计详述系统的输入信号包括:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAK

7、E,夜间行驶信号NIGHT。系统的输入信号包括:汽车左侧4盏指示灯LLED1,LLED2,LLED3,LLED4和汽车右侧4盏指示灯RLED1,RLED2,RLED3,RLED4。当汽车正常行驶时所有的指示灯都不亮,当汽车向左转时,汽车左边的指示灯LLED1亮,当汽车向右转时,汽车右边的指示灯RLED1亮,当汽车刹车时,左右的LLED2、RLED2亮,当汽车夜间行驶时,汽车左右的LLED3、LLED4、RLED3、RLED4一直亮。2.6各组成模块原理及程序2.6.1汽车尾灯主控模块数据入口:RIGHT:右转信号;LEFT:左转信号;BRAKE:刹车信号;NIGHT:夜间行驶信号;数据出口:L

8、P:左侧灯控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE_LED:刹车控制信号;NIGHT_LED:夜间行驶控制信号;VHDL程序(CTRL.VHD)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYCTRLISPORT(LEFT,RIGHT,BRAKE,NIGHT:INSTD_LOGIC;LP,RP,LR,BRAKE_LED,NIGHT_LED:OUTSTD_LOGIC);ENDENTITYCTRL;ARCHITECTUREARTOFCTRLISBEGINNIGHT_LED=NIGHT;BRAKE_LEDLP=0;RP=0;LRLP=0

9、;RP=1;LRLP=1;RP=0;LRLP=0;RP=0;LR=1;-当汽车刹车时,左右灯都亮ENDCASE;ENDPROCESS;ENDARCHITECTUREART;功能:该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧灯控制信号;当输入为右转信号时,输出右侧灯控制信号;当同时输入LEFT和RIGHT信号时,输出错误控制信号。当输入为刹车信号时,输出刹车控制信号;当输入为夜间行驶信号时,输出为夜间行驶控制信号。2.6.2左边灯控制模块数据入口:CLK:时钟控制信号;LP:左侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;数据入口:

10、LEDL:左侧LD1灯控制信号;LEDB:左侧LD2灯控制信号;LEDN:左侧LD3灯控制信号;VHDL程序(LC.VHD)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYLCISPORT(CLK,LP,LR,BRAKE,NIGHT:INSTD_LOGIC;LEDL,LEDB,LEDN:OUTSTD_LOGIC);ENDENTITYLC;ARCHITECTUREARTOFLCISBEGINLEDB=BRAKE;LEDN=NIGHT;PROCESS(CLK,LP,LR)BEGINIFCLKEVENTANDCLK=1THEN-时钟上升沿有效IF(LR=0)

11、THEN-没有刹车信号时IF(LP=0)THEN-没有左拐信号时LEDL=0;-左信号灯不亮ELSE-相反情况LEDL=1;ENDIF;ELSELEDL=0;ENDIF;ENDIF;ENDPROCESS;ENDARCHITECTUREART;功能:本程序用于控制左侧灯的亮、灭和闪烁情况,当时钟上升沿信号和左侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,左侧相应的灯亮或出现闪烁。当错误控制信号出现时,LD1灯不亮。2.6.3右边灯控制模块数据入口:CLK:时钟控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;数据出口:LEDR:右侧

12、RD1灯控制信号;LEDB:右侧RD2灯控制信号;LEDN:右侧RD3灯控制信号;VHDL程序(RC.VHD)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYRCISPORT(CLK,RP,LR,BRAKE,NIGHT:INSTD_LOGIC;LEDR,LEDB,LEDN:OUTSTD_LOGIC);ENDENTITYRC;ARCHITECTUREARTOFRCISBEGINLEDB=BRAKE;LEDN=NIGHT;PROCESS(CLK,RP,LR)BEGINIFCLKEVENTANDCLK=1THEN-检测时钟上升沿IF(LR=0)THENIF(

13、RP=0)THENLEDR=0;ELSELEDR=1;ENDIF;ELSELEDR=0;ENDIF;ENDIF;ENDPROCESS;ENDARCHITECTUREART;功能:本描述用于控制右侧灯的亮、灭和闪烁情况,当时钟上升沿信号和右侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,右侧相应的灯亮或出现闪烁。当错误控制信号出现时,RD1灯不亮。2.6.4时钟分频模块VHDL程序(SZ.VHD)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSZISPORT(CLK:INSTD_LOGI

14、C;-时钟输入CP:OUTSTD_LOGIC);ENDENTITYSZ;ARCHITECTUREARTOFSZISSIGNALCOUNT:STD_LOGIC_VECTOR(7DOWNTO0);-定义八位标准逻辑位矢量数据类型BEGINPROCESS(CLK)BEGINIFCLKEVENTANDCLK=1THEN-检测时钟上升沿COUNT=COUNT+1;ENDIF;ENDPROCESS;CP=COUNT(3);-输出第五位ENDARCHITECTUREART;功能:这块的功能是对左右两边的LLED1、RLED1的闪烁时间间隔,以CLK为输入信号,CP为输出信号,在程序中定义一个八位节点信号CO

15、UNT来放计数值,当CLK的上升沿到来时就开始计数,最后将COUNT(3)给CP,实现对CLK的八分频。再将CP的电平信号分别和LEDL、LEDR电平与,最后用输出的电平来控制汽车左右的LLED1、RLED1,实现左右转的指示功能。2.6.5原理图顶层文件VHDL程序(tp.VHD)Libraryieee;Useieee.std_logic_1164.all;Useieee.std_logic_unsigned.all;EntitytpisPort(clk:instd_logic;Left:instd_logic;Right:instd_logic;Brake:instd_logic;Nigh

16、t:instd_logic;Ld1,ld2,ld3:outstd_logic;Rd1,rd2,rd3:outstd_logic);End;ArchitecturebhoftpisComponentszisPort(clk:instd_logic;Cp:outstd_logic);Endcomponent;ComponentctrlisPort(left,right,brake,night:instd_logic;Lp,rp,lr,brake_led,night_led:outstd_logic);Endcomponent;ComponentlcisPort(clk,lp,lr,brake,ni

17、ght:instd_logic;Ledl,ledb,ledn:outstd_logic);Endcomponent;ComponentrcisPort(clk,rp,lr,brake,night:instd_logic;Ledr,ledb,ledn:outstd_logic);Endcomponent;Signaltmp0,tmp1,tmp2,tmp3,tmp4:std_logic;Signalerr0,err1,err2,err3,err4,err5:std_logic;signalbm:std_logic;BeginU1:szportmap(clk,bm);U2:ctrlportmap(l

18、eft,right,brake,night,tmp0,tmp1,tmp2,tmp3,tmp4);U3:lcportmap(clk,tmp0,tmp2,tmp3,tmp4,err0,err1,err2);U4:rcportmap(clk,tmp1,tmp2,tmp3,tmp4,err3,err4,err5);Ld1=err0andbm;Ld2=err1;Ld3=err2;Rd1=err3andbm;Rd2=err4;Rd3=err5;End;2.6.6整体仿真波形图分析整体仿真图:输入刹车信号一直为高电平,输出LD2灯和RD2灯也为长亮;左转信号为高电平时,LD1灯闪烁,右转信号为高电平时,RD

19、1灯闪烁;当左转信号和右转信号同时为高电平时,LD1灯和RD1灯都不亮;夜间行驶信号为高电平时,LD3灯和RD3灯同时亮。波形仿真结果满足预期的功能。3心得体会通过本次课程设计,我们对EDA技术有了更深的了解,初步学会了采用自顶向下的系统设计方法设计系统,并熟练掌握了利用VHDL语言进行简单的电路模块设计。此外,我们还进一步熟悉了MAX+PLUSII这款软件的使用,深刻体会到了用软件实现硬件设计的便捷与优越。本次课程设计不仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,分析问题和解决问题的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论