电子音乐发生器报告单片机设计实验报告_第1页
电子音乐发生器报告单片机设计实验报告_第2页
电子音乐发生器报告单片机设计实验报告_第3页
电子音乐发生器报告单片机设计实验报告_第4页
电子音乐发生器报告单片机设计实验报告_第5页
已阅读5页,还剩29页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 单片机设计实验报告名称:电子音乐发生器班级:班号:实验组号:学生:讲师:临时检查老师评语导师签名:年日电子音乐发生器 抽象实验本组制作的基于pic单片机的电子音乐发生器是一款具有简单音乐演奏和液晶屏提示播放功能的演示作品。目前在很多简单的音乐播放设备中(比如贺卡、礼物中的简单音乐单元),这种简单的电路和rom编程原理都可以通用,而且电路重叠,布局简单,非常适合为学习电路原理和零基础的汇编语言编程训练做参考,附赠简单礼物的核心部分。整个系统中单片机采用Microchip公司的PIC16F877,软件设计涉及到PORTBPORTCPORTDPORTE作为通用数字I/O管脚的功能。本实验中单片机P

2、ORTBD接收键盘输入的指令信息,从而确定lcd屏幕和扬声器的显示能力,然后通过PORTCDE de输出声音或字幕信息.抽象的在本次实验中,我们小组制作了这款基于pic单片机的电子音乐发生器,是一个简单的LCD提示音乐播放、播放等功能的演示。在目前很多简单的音乐播放设备(比如贺卡、简单音乐元素的礼物)中,这样简单的电路和rom编程原理都可以通用,而且电路一圈,布局简单,非常适合电路原理图学习汇编语言零基础培训程序和简单的礼物核心部分的制作参考。在整个系统中,单片机采用Microchip的PIC16F877,软件设计涉及到PORTBPORTCPORTDPORTE作为普通数字I/O引脚的功能。本实

3、验用单片机PORTBD接收来自键盘输入信息的命令,从而确定液晶屏显示和扬声器播放的内容,然后通过PORTCDE输出声音或字幕信息。关键词微控制器芯片-CMOS芯片液晶屏-液晶屏输出端口-I/O 引脚一、实验论证与比较该电子音乐发生器采用以Microchip公司的PIC16F877芯片为核心的简易控制系统,外接电路连接扬声器、键盘和液晶屏,配合其他必要的系统调节元件。所要实现的功能用汇编语言实现并烧入rom。作为实验过程中的硬件实施者,我在本次实验中的主要工作是相关数据的收集、软件的使用、相关的乐谱收集和音乐理论知识的简要介绍、实验记录和图形绘制,以及最终的硬件设计和焊接实现。其中难点在于理论上

4、,电子音乐发生器模拟真实乐器的模拟方法与真实电路不同,同组编程小伙伴存储播放时间长,需要耗费大量的脑力和时间。声音和乐谱。二、系统总体设计= 1 * GB1系统模块整体框图= 2 * GB1程序流程图三、硬件连接= 1 * GB1与单片机的输出元件相连。音乐发生器的声音输出单元很简单,就是pic16f877单片机的RC0口通过导线与喇叭单元的信号输入端相连。但是单片机和液晶显示器需要对应的管脚与单片机的10个管脚(RE01和RD0-7)通过线连接。= 2 * GB1与单片机的输入元件相连。其中,键盘输入需要与单片机的8个端口(RD7-4和RB3-0)相连。硬件连接是我分工中最重要的部分,其中各

5、部分外围配套电路(如4M有源晶振、复位电路、喇叭放大电路、电源电路等,请看下文具体硬件原理图)。具体硬件原理图(设计、手绘、12组硬件部分负责学生:Bing Yu)调试过程= 1 * GB1软件程序部分虽然软件部分由我的队友完成,但原则上我在平时的合作中学到了很多比较容易的原理,在了解程序控制原理的基础上完成硬件设计和实现更有优势和输出。首先是音轨存储的形式,经过简单的乐理讲解参考两只老虎五线谱,程序因为1/4音符需要重复多次(这首歌是“4/4 beat”歌曲,即“一个四分音符为一个节拍,每小节有4个节拍”,这首歌需要设置1/4节拍,详见附录1)。= 2 * GB1硬件部分我负责硬件设计和实现

6、。硬件部分分为三部分:电路图设计、面包板上的电路实现和万能板上的电路实现。画出基本电路图,按照电路图在面包板上搭接电路。但是由于面包板搭接不稳定,电路的输出往往会出现异常。最后一次电路工作正常,各项参数正常后,听取实验室老师的建议,直接焊接。考虑现有万能板条件后,重新绘制适合万能板布局的电路图,开始焊接和测试。调试过程中的经验总结: 1、学生在市场上购买的万能板与老师发给教学的万能板有很大的不同,用途也不同。教学用万能板已经连接了焊点,所以这种结构非常适合第一次焊接整个电路的同学。 2、焊接多个引脚和输出元件时,强烈建议在焊接后立即用万用表测量焊点是否真正焊接好,否则可能会浪费不必要的时间来找

7、出电路是否有问题。 3、要在各种研究中贯彻“微积分”的思想,把它分解成部分,逐步分解,然后再重新组合,以达到整体的效果。同时对焊接部分进行检查,对完成的部分及时进行检查,最大限度的保证整个电路的正常运行。 (详见本实验报告第七部分附录各部分硬件原理图。) 4、边分析边检查,猜测出现这种现象的可能原因,并逐一检查。 5、仔细看图,避免接错等低级错误。五、参考文献:PIC单片机实战教程-基础篇学习的海洋航天大学使用说明:确实是一本不可缺少的教科书。本书列举了许多具有实际意义的实例。单片机的介绍是通过将本书中的好例子逐字逐句输入计算机来实现的。PIC单片机实用教程-改进学习的海洋航天大学使用说明:继

8、承了前几篇基础文章的风格,实例也具有实际意义,主要侧重于RA和TMR2的使用以及CCP模块的PWM脉宽调制功能。六、从实验中获得的经验在这学期的实验中,我们组(第12组,网络A)遇到了最严重的问题,但同时也不是实验本身的学习问题,客观上是有时间限制的。由于实验时间是在期末考试之后,所以实验结束意味着队员们订好了回家的机票。因为暑假铁路订票高峰期很难买到票,所以我们组只好选了一个比较简单的题目(本组负责软件的队友和同学其实对这个题目很感兴趣) “单芯片智能汽车”,可惜没能如愿尝试、努力、学习),以相对紧凑的实验节奏完成了实验。实验的功能是LCD显示的电子音乐发生器,共占用30个教学单元(第11天

9、完成验收)。首先,总结实验中学到的知识和技能。本实验参照正式科研实验的模式,为个人兴趣而录制的“实验日记”和实验的期中测试视频进行了整理和保存。记录虽不完整,但仍有利于回顾经验教训(本部分见附件及报告附件)。在硬件设计上,尤其是万能板焊接前的具体电路设计阶段,由于现有材料的限制,出现了元器件高位接错的严重事故,最好找到纠正在打开电源之前,否则组件可能会爆炸。由于焊接工作台与试验区分开,焊接过程中经常忘记携带图纸和镊子,这不仅让我养成了不良的试验习惯,也让我在实践中明白了综合考人能力的原因.其次,总结一下我在实验中的个人经验,我在实验中遇到了非常棘手的问题,如下:2013年6月29日,第一堂理论

10、课,实验介绍和课题指导。2013.7.1 进入实验室,引入试验箱,试雷电灯(下载成功但未运行成功),试D盘程序计数器(led灯显示计数值)(下载运行成功)2013年7月2日:第二节理论课(讲解硬件)继续实验,包括实验设计报告的准备、实验报告的试写、课题平衡的确定、其他组的成果观察、硬件支持的交流老师遇到的问题,总结使用实验箱的经验教训(特别是下载和实验箱电源接触不良与程序下载运行的关系,总结与实验箱之间的差距)理论与实践)。2013.7.3 实验进入胶着状态。虽然还在孜孜不倦地研究代码和硬件的关系,但什么也没找到(早上)。下午,电子音乐发生器程序的输出端口暂定为rc0。2013.7.4 因突发

11、不可抗力,实验节奏被迫中断,开始系统学习汇编语言,进度不可控。上午进行理论学习,下午同时查阅资料。同组的同学在编程中发现二进制常量填充中的00000000B在编程中是不可用的,所以需要使用B0000000的形式来控制LED按照指令发光。晚上改完节目后,基本用琴键控制指定的led发光,控制喇叭发出C大调七个音阶的声音。实验取得阶段性胜利。面包板有问题,花了两天时间才找到原因。正是因为电子音乐发生器是一个不难的话题,才让人感觉更舒服。现在回想当时实验的迷茫和茫然,就像一个人去一个人烟稀少的“一先天”景区,可惜在穿过石缝的时候,整个人被卡在了中间,转身的时候他的头,他会揉鼻子,精神窒息。这个时候,只

12、有解决眼前的问题,才能艰难地走出石缝但当他终于从压迫自己身心的“石缝”中逃出来的时候,他发现天空是那么的蓝,那么的高。 ,回想起来,他深有感触。在焊接过程中,我的手指因操作不当被烫伤,我也在附近的试验台亲眼目睹了烙铁使用不当引起的爆炸。这一切都时刻提醒着我们在实验过程中“安全第一”。 (当我旁边的测试台爆炸时,一个火球从烙铁丝中喷出,发出爆炸声,然后发现烙铁丝被完全吹断并插上断电保护。)否则,它有可能伤害自己和他人,造成安全隐患、财产损失甚至人员伤亡。自7月1日我组实验开始以来,每天8:30-11:30、14:00-17:00、18:00-21:00三个实验单位均准时签到进行实验.同时,我们向

13、老师请教了元器件的基本使用方法,接下来的13组同学热情地介绍了自己购买的元器件的使用方法,网上的资料也比较简单易懂。召开本组进度会议。实验的第七天,还有很多其他组的同学来询问元件的基本使用,乐理知识(我对乐器学习有一点经验,所以可以帮助其他做音乐作品的同学),焊接经验,电路工作中的错误问题等等。当我竭尽全力去帮助的时候,我深深地感受到帮助那些和我一样焦虑和无助的眼睛的人是多么有意义!最后,面包板在实验中会时不时坏掉的原因还不是很清楚。或许这就是实验的魅力所在。对我来说,与其在实验中理解“通信工程的真谛”,不如理解“人生不是一道题,也不是什么都能回答”的禅宗原则。最后,我觉得我们的老师在实验中受

14、到了认真的教导。实验开始时,我耐心引导急躁急功近利的学生,安慰和抚摸那双焦急无助的眼睛,批评学生粗心大意,时而浪费元件。实验中教师的教育和模式的价值是不可估量的。实验过程中,老师早起晚睡对我们进行监督指导,为了给同学们演示焊接和修复损坏的设备,不小心被烙铁烫伤。这些都让我们感到很感动,同时也激发了我们学习的动力。实验中,老师教我们什么可以独立做,什么要找相关资料,资料要冷静阅读才能理解,错误要逐点衡量找出并改正。我们也应该更多地依靠自己。老师忙的时候,我们不妨打坐,重新审视自己的回路,也就是理解他人,给心灵一个安定下来的机会。老师不会留下任何学生。在实验中,老师总是在挤满了2-324的人中,一

15、一帮助前来求救的学生。在严格的教学中,我们感受到老师认真负责、孜孜不倦的教学。虽然实验结束了,但研究不会结束。未来,我相信我会更有信心克服困难,坚持下去。2013 年 8 月 4 日附录部分分解的硬件示意图:实验中期检查效果图:最后是实验硬件实现图(请参考实验报告所附视频):焊接过程中的针座布局和背面焊点:Chanpu 1(两只老虎):概要2(感觉必须是):状态 equ 3hrp0 等于 5h端口 equ 6htrisb equ 86h端口 equ 7htrisc equ 87h端口 equ 8htrisd equ 88hporte equ 09h三叶草 89 小时date1 等于 20hda

16、te2 等于 21hdate3 等于 23hADCON1 equ 9fhn1 等于 d1n4 等于 d1n5 equ d95 ;五n6 equ d85 ; 3 4n7 equ d75 ; 2n8 equ d65 ;一n10 等于 d105 ;六n11 equ d50 ; -5n12 等于 d6n13 等于 120n2 等于230n3 等于 d1option_reg equ 81hoption_b equ 22hintcon equ 0bhtmr0 等于 01hso0 等于 d256-d160做 equ d256-d120要求 d256-d106mi equ d256-d94fa equ 256

17、-d90所以等于 256-80256 -72 等值xi equ 256-d64do1 equ of 256-of 60re1 equ of 256-of 53mi1 equ 256-d47; *组织 0000h主要的无bsf 状态,rp0movlw 00hmovwf triscmovlw b11111111movwf trisbmovlw 00hmovwf trisdmovlw b00000000movwf 三叶草MOVLW 6HMOVWF ADCON1movlw b00000011movwf option_regbcf 状态,rp0movlw 00hmovwf 端口movlw b000000

18、00movwf 波特; *叫下movlw b00000001movwf 端口叫下movlw b00111000 ;设置功能movwf 端口叫下movlw b00001000 ;关闭显示movwf 端口叫下movlw b00000110 ;清屏movwf 端口叫下movlw b00001100 ;清屏movwf 端口叫下bcf 端口,0movlw b00000001movwf 端口叫下movlw b00000001movwf 波特movlw b01110111movwf 端口叫下movlw b01100101movwf 端口叫下movlw b01101100movwf 端口叫下movlw b01

19、100011movwf 端口叫下movlw b01101111movwf 端口叫下movlw b01101101movwf 端口叫下movlw b01100101movwf 端口叫下;欢迎movlw b10100000movwf 端口叫下movlw b01110100movwf 端口叫下;至movlw b01101111movwf 端口叫下movlw b10100000movwf 端口叫下movlw b01110101 ;利用movwf 端口叫下movlw b01110011movwf 端口叫下movlw b01100101movwf 端口叫下bcf 端口,0 ;下一行movlw b11000

20、000movwf 端口叫下movlw b00000001movwf 波特movlw b01000011movwf 端口叫下movlw b00111010movwf 端口叫下movlw b01110011movwf 端口叫下movlw b01110100movwf 端口叫下movlw b01100001movwf 端口叫下movlw b01110100movwf 端口叫下movlw b01100101movwf 端口叫下movlw b01101101movwf 端口叫下movlw b01100101movwf 端口叫下movlw b01101110movwf 端口叫下movlw b0111010

21、0movwf 端口叫下; *开始movlw b01111111movwf 端口btfss 端口b,3打电话给movlw b00000000movwf 端口btfss 端口b,2叫二movlw b00000000movwf 端口btfss 端口b,1叫三movlw b00000000movwf 端口btfss 端口b,0叫四movlw b10111111movwf 端口btfss 端口b,3打五movlw b00000000movwf 端口btfss 端口b,2打六movlw b00000000movwf 端口btfss 端口b,1叫七movlw b00000000movwf 端口btfss 端

22、口b,0叫八movlw b00000000movwf 端口movlw b11011111movwf 端口btfss 端口b,3叫九movlw b00000000movwf 端口btfss 端口b,2叫十movlw b00000000movwf 端口movlw b11011111movwf 端口btfss 端口b,1打电话给aabtfss 端口b,0打电话给bbmovlw b00000000movlw b11101111movwf 端口btfss 端口b,3打电话抄送转到开始cc bcf 端口,0movlw b00000001movwf 端口叫下movlw b00000001movwf 波特mo

23、vlw b00110000movwf 端口叫下movlw b00101101movwf 端口叫下movlw b00111001movwf 端口叫下movlw b00111010movwf 端口叫下movlw b11110000movwf 端口叫下movlw b01101100movwf 端口叫下movlw b01110101movwf 端口叫下movlw b01100011movwf 端口叫下movlw b01101011movwf 端口叫下bcf 端口,0movlw b11000000movwf 端口叫下movlw b00000001movwf 波特movlw b01000001movwf

24、端口叫下movlw b00101100movwf 端口叫下movlw b01000010movwf 端口叫下movlw b00111010movwf 端口叫下movlw b01101101movwf 端口叫下movlw b01110101movwf 端口叫下movlw b01110011movwf 端口叫下movlw b01101001movwf 端口叫下movlw b01100011movwf 端口叫下返回一movlw 01hxorwf 端口bcf intcon,2movlw 做movwf tmr0测试 btfss intcon,2转到测试返回二movlw 01hxorwf 端口bcf in

25、tcon,2移动movwf tmr0test2 btfss intcon,2转到测试2返回三movlw 01hxorwf 端口bcf intcon,2movlw 米movwf tmr0test3 btfss intcon,2转到测试3返回四movlw 01hxorwf 端口bcf intcon,2movlw famovwf tmr0test4 btfss intcon,2转到测试4返回五movlw 01hxorwf 端口bcf intcon,2movlw 所以movwf tmr0test5 btfss intcon,2转到测试5返回六movlw 01hxorwf 端口bcf intcon,2m

26、ovlw 拉movwf tmr0test6 btfss intcon,2转到测试6返回七movlw 01hxorwf 端口bcf intcon,2movlw ximovwf tmr0test7 btfss intcon,2转到测试7返回八movlw 01hxorwf 端口bcf intcon,2movlw do1movwf tmr0test8 btfss intcon,2转到测试8返回九movlw 01hxorwf 端口bcf intcon,2movlw re1movwf tmr0test9 btfss intcon,2转到测试9返回十movlw 01hxorwf 端口bcf intcon,2

27、movlw mi1movwf tmr0test10 btfss intcon,2转到测试10返回江湖movlw 01hxorwf 端口bcf intcon,2movlw so0movwf tmr0test11 btfss intcon,2转到测试11返回啊bcf 端口,0movlw b00000001movwf 端口叫下movlw b00000001movwf 波特movlw b01110100movwf 端口叫下movlw b01110111movwf 端口叫下movlw b01101111movwf 端口叫下movlw b10100000movwf 端口叫下movlw b01110100m

28、ovwf 端口叫下movlw b01101001movwf 端口叫下movlw b01100111movwf 端口叫下movlw b01100101movwf 端口叫下movlw b01110010movwf 端口叫下movlw b01110011movwf 端口叫下通话延迟1通话延迟1通话延迟1通话延迟1通话延迟2通话延迟2通话延迟2通话延迟2通话延迟3通话延迟3通话延迟3通话延迟3通话延迟1通话延迟1通话延迟1通话延迟1通话延迟0通话延迟1通话延迟1通话延迟1通话延迟1通话延迟2通话延迟2通话延迟2通话延迟2通话延迟3通话延迟3通话延迟3通话延迟3通话延迟1通话延迟1通话延迟1通话延迟1通

29、话延迟0通话延迟3通话延迟3通话延迟3通话延迟3通话延迟4通话延迟4通话延迟4通话延迟4通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟0通话延迟3通话延迟3通话延迟3通话延迟3通话延迟4通话延迟4通话延迟4通话延迟4通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟0通话延迟5通话延迟5通话延迟5通话延迟6通话延迟5通话延迟5通话延迟5通话延迟4通话延迟3通话延迟3通话延迟3通话延迟3通话延迟1通话延迟1通话延迟1通话延迟1通话延迟0通话延迟5通话延迟5通话延迟5通话延迟6通话延迟5通话延迟5通话延迟5通话延迟4通

30、话延迟3通话延迟3通话延迟3通话延迟3通话延迟1通话延迟1通话延迟1通话延迟1通话延迟0通话延迟1通话延迟1通话延迟1通话延迟1通话延迟7通话延迟7通话延迟7通话延迟7通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟0通话延迟1通话延迟1通话延迟1通话延迟1通话延迟7通话延迟7通话延迟7通话延迟7通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟0返回bb呼叫延迟3通话延迟3通话延迟4通话延迟4通话延迟通话延迟5通话延迟5通话延迟3通话延迟3通话延迟通话延迟3通话延迟3通话延迟5通话延迟5通话延迟通话延迟5通话延迟5

31、通话延迟4通话延迟4通话延迟通话延迟3通话延迟3通话延迟2通话延迟2通话延迟2通话延迟2通话延迟2通话延迟2通话延迟0通话延迟3通话延迟3通话延迟2通话延迟2通话延迟通话延迟1通话延迟1通话延迟通话延迟1通话延迟1通话延迟1通话延迟1通话延迟8通话延迟8通话延迟通话延迟8通话延迟8通话延迟8通话延迟8通话延迟通话延迟3通话延迟3通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟0通话延迟1通话延迟1通话延迟通话延迟1通话延迟1通话延迟2通话延迟2通话延迟2通话延迟2通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟通话延迟8通话延迟8通话

32、延迟0通话延迟8通话延迟6通话延迟6通话延迟6通话延迟6通话延迟通话延迟6通话延迟6通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟5通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟1通话延迟通话延迟1通话延迟1通话延迟通话延迟1通话延迟1通话延迟3通话延迟3通话延迟3通话延迟3通话延迟2通话延迟2通话延迟2通话延迟2通话延迟2通话延迟2返回延迟0 movlw n2movwf date3lp3 movlw n4movwf 日期1lp0 movlw n5movwf 日期2lp1decfsz 日期2,1转到 lp1decfsz 日期1,1转到 lp0decfsz 日期3,1转到lp3返回延迟1 movlw n3movwf date3lp30 movlw n1movwf 日期1lp00 movlw n8movwf 日期2lp10呼叫一decfsz 日期2,1转到lp10decfsz 日期1,1转到 lp00decfsz 日期3,1转到lp30返回延迟2 movlw

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论