第六章 组合逻辑电路_第1页
第六章 组合逻辑电路_第2页
第六章 组合逻辑电路_第3页
第六章 组合逻辑电路_第4页
第六章 组合逻辑电路_第5页
已阅读5页,还剩118页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第6章组合逻辑电路

加法器和数值比较器数据选择器与数据分配器译码器编码器组合逻辑电路的分析和设计方法主要要求:

掌握组合逻辑电路和时序逻辑电路的概念。

了解组合逻辑电路的特点与描述方法。

概述

一、组合逻辑电路的概念

指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。

数字电路根据逻辑功能特点的不同分为组合逻辑电路时序逻辑电路

指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。二、组合逻辑电路的特点与描述方法

组合逻辑电路的逻辑功能特点:

没有存储和记忆作用。

组合电路的组成特点:

由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。组合电路的描述方法主要有逻辑表达式、真值表、卡诺图和逻辑图等。

主要要求:理解组合逻辑电路分析与设计的基本方法。熟练掌握逻辑功能的逻辑表达式、真值表、卡诺图和逻辑图表示法及其相互转换。

组合逻辑电路的

分析与设计一、组合逻辑电路的基本分析方法分析思路:基本步骤:

根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能。根据给定逻辑图写出输出逻辑式,并进行必要的化简列真值表分析逻辑功能例1:&&&&ABYABAABBABY=AABBAB=AAB+BAB=AAB+BAB=AB(A+B)=(A+B)(A+B)=0+AB+AB+0异或门组合逻辑电路的分析=AB+AB组合逻辑电路的分析例2:M=1(高电平):Y=AM=0(低电平):Y=B本图功能:二选一电路。数据选择器B&&&AMY1M=0时:门1输出恒为1,A信号被拒之门外。零电平对与非门的封门作用。Y=AMBM=AM+BM例3:

分析下图所示逻辑电路的功能。解:(1)写出输出逻辑函数式ABCYY1YY1001010100111(3)分析逻辑功能(2)列逻辑函数真值表111011101001110010100000YCBA输出输入01010000111100001111根据异或功能可列出真值表如右表;也可先求标准与或式,然后得真值表。后者是分析电路的常用方法,下面介绍之。通过分析真值表特点来说明功能。

A、B、C三个输入变量中,有奇数个1时,输出为1,否则输出为0。因此,图示电路为三位判奇电路,又称奇校验电路。0101001100111111二、组合逻辑电路的基本设计方法

设计思路:基本步骤:

分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。

分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。

首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0,何时取值1)。然后分析输出变量和输入变量间的逻辑关系,列出真值表。

根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。例1设计一个三人表决逻辑电路,要求:三人A、B、C各控制一个按键,按下为“1”,不按为“0”。多数(2)按下为通过。通过时L=1,不通过L=0。用与非门实现。LABC+5V要设计的逻辑电路

(一)单输出组合逻辑电路设计举例

ABCL00000010010001111000101111011111ABC0000111110111100002、用画卡诺图化简L=AC+BC+AB3、写出最简“与或”式组合逻辑电路的设计1、列真值表BCACAB4、用与非门实现逻辑电路L=AB+AC+BC=AB•AC•BC组合逻辑电路的设计例1&&&&ABCL&下面通过例题学习如何设计组合逻辑电路设计一个A、B、C三人表决电路。当表决某个提案时,多数人同意,则提案通过,但A具有否决权。用与非门实现。解:(1)分析设计要求,列出真值表设A、B、C同意提案时取值为1,不同意时取值为0;Y表示表决结果,提案通过则取值为1,否则取值为0。可得真值表如右。A、B、C三人表决电路多数人同意,则提案通过,但A具有否决权111011101001110010100000YCBA输出输入0000000011111111110(2)化简输出函数Y=AC+ABABC0100011110

1

1

1

0

0

0

0

0用与非门实现,并求最简与非式=AC+AB=AC·AB例2(3)根据输出逻辑式画逻辑图YABCY=AC·AB例3:

交通灯故障监测逻辑电路的设计。红灯R黄灯Y绿灯G单独亮正常黄、绿同时亮正常其它情况不正常RYG单独亮正常黄、绿同时亮正常其他情况不正常RYG000011111011110000Z=RYG+RG+RY组合逻辑电路的设计RYGZ000100100100011010001011110111111、列真值表2、卡诺图化简RYRG3、写最简逻辑式设:灯亮为“1”,不亮为“0”,正常为“0”,不正常为“1”。例34、用基本逻辑门构成逻辑电路Z=RYG+RG+RYRYG&111&&1Z

若要求用与非门构成逻辑电路呢?组合逻辑电路的设计例35、用与非门构成逻辑电路=RYG+RG+RY=RYG•RG•RY组合逻辑电路的设计例3Z=RYG+RG+RYRYG&111&&Z&(利用反演定理A+B=AB,A+B+C=ABC)BiAi输入SiCi输出相加的两个数本位和向高位的进位解:(2)求最简输出函数式Ci

=Ai

Bi(3)画逻辑图01111001101000011BiAi输入SiCi输出00[例4]

试设计半加器电路。

将两个1位二进制数相加,而不考虑低位进位的运算电路,称为半加器。SiCiAiBi(1)分析设计要求,列真值表。

(二)多输出组合逻辑电路设计举例

半加器电路能用与非门实现吗?用与非门实现的半加器电路为AiBiSiCi1

iiiBAC=iiiiiBABAS+=iiiiiiABABBA.=

此式虽非最简,但这样可利用

Ci中的信号

AiBi,省去实现

Ai和

Bi的两个非门,从而使整体电路最简。初学者一般从输入向输出逐级写出各个门的输出逻辑式。熟练后可从输出向输入直接推出整个电路的输出逻辑式。由Si表达式可知,当输入有奇数个1时,Si

=1,否则Si=0。[例]分析下图电路的逻辑功能。解:(2)列真值表(1)写出输出逻辑函数式AiBiCi-1CiSiAiBiCi-10100011110

1

1

1

1111011101001110010100000SiCiCi-1BiAi输出输入00011110由Ci-1表达式可画出其卡诺图为:10010110可列出真值表为(3)分析逻辑功能将两个一位二进制数Ai、Bi

与低位来的进

位Ci-1相加,Si为本位和,Ci为向高位产生的

进位。这种功能的电路称为全加器。[例5]全加器能将低位的进位信号纳入计算的加法器称为全加器输入输出AiBiCi-1CiSiCO∑

CIABS0000010100111001011101111位全加器真值表1位全加器输出表达式:逻辑符号:0010100110010111附

多位加法器将几个全加器依次级联,实现串行进位加法运算。(以4位串行进位加法器为例)1、串行进位加法器10011101111例如做14+7的运算:=(10101)2

=16+4+1=(21)1001110(1110)2+(0111)20CO∑

CIABSCO∑

CIABSCO∑

CIABSCO∑

CIABS主要要求:

理解编码的概念。

理解常用编码器的类型、逻辑功能和使用方法。编码器和译码器

1.编码器

把二进制码按一定规律编排,使每组代码具有一特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。n

位二进制代码有2n

种组合,可以表示2n

个信息。要表示N个信息所需的二进制代码应满足2nN一、编码器的概念与类型编码器

二进制编码器

二-十进制编码器

优先编码器被编信号

二进制代码编码器二、二进制编码器将输入信号编成二进制代码的电路。2n个n位编码器高低电平信号二进制代码1分析要求:

输入有8个信号,即N=8,根据2n

N的关系,即n=3,即输出为三位二进制代码。例:设计一个编码器,满足以下要求:(1)将I0、I1、…I78个信号编成二进制代码。(2)编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。(3)

设输入信号高电平有效。001011101000010100110111I0I1I2I3I4I5I6I72列编码表:输入输出Y2

Y1

Y0

3写出逻辑式并转换成“与非”式Y2=I4+I5+I6+I7=I4I5I6I7...=I4+I5+I6+I7Y1=I2+I3+I6+I7=I2I3I6I7...=I2+I3+I6+I7Y0=I1+I3+I5+I7=I1I3I5I7...=I1+I3+I5+I7

4画出逻辑图10000000111I7I6I5I4I3I1I2&&&1111111Y2Y1Y0逻辑表达式逻辑图I1I2I3I4I5I6I7Y0Y1Y23位二进制编码器由图可写出编码器的输出逻辑函数为由上式可列出真值表为原码输出Y0=I1·I3·I5·I7Y2=I4·I5·I6·I7Y1=I2·I3·I6·I7Y0=I1·I3·I5·I7I0省略不画8个需要编码的输入信号输出

3

二进制码I1I2I3I4I5I6I7Y0Y1Y21111000000001101000000101001000000010001000011000001000010000001001000000001000000000001Y0Y1Y2I7I6I5I4I3I2I1I0输出输入被编信号高电平有效。8线

–3线编码器将十进制数0~9编成二进制代码的电路三、二-十进制编码器表示十进制数4位10个编码器高低电平信号二进制代码

列编码表:四位二进制代码可以表示十六种不同的状态,其中任何十种状态都可以表示0~9十个数码,最常用的是8421码。000输出输入Y1Y2Y00(I0)1(I1)2(I2)3(I3)4(I4)5(I5)6(I6)7(I7)8(I8)9(I9)Y300011101000011110001101100000000001118421BCD码编码表写出逻辑式并化成“或非”门和“与非”门Y3=I8+I9.

=I4+

I6I5+I7Y2=I4+I5+I6+I7Y0=I1+I3+I5+I7+I9.=I1+I9I3+I7

I5+I7..

=I2+

I6I3+I7Y1=I2+I3+I6+I7画出逻辑图10000000011101101001&&&>1>1>1>1>1>1I1I2I3I4I5I6I7I8I9Y3Y2Y1Y0法二:十键8421码编码器的逻辑图+5V&Y3&Y2&Y1&Y0I0I1I2I3I4I5I6I7I8I91K×10S001S12S23S34S45S56S67S78S89S9I1I2I3I4I5I6I7Y0Y1Y2I8I9Y38421BCD码编码器将0~9十个十进制数转换为二进制代码的电路。又称十进制编码器。

I0省略不画输出4位二进制代码原码输出I1I2I3I4I5I6I7Y0Y1Y2I8I9Y310011000000000000101000000001110001000000001100001000000101000001000000010000001000011000000001000010000000001001000000000001000000000000001Y0Y1Y2Y3I9I8I7I6I5I4I3I2I1I0输出输入10线

–4线编码器被编信号高电平有效逻辑表达式逻辑图

当有两个或两个以上的信号同时输入编码电路,电路只能对其中一个优先级别高的信号进行编码。

即允许几个信号同时有效,但电路只对其中优先级别高的信号进行编码,而对其它优先级别低的信号不予理睬。四、优先编码器为何要使用优先编码器?优先编码器

1111000000001101000000101001000000010001000011000001000010000001001000000001000000000001Y0Y1Y2I7I6I5I4I3I2I1I0输出输入允许同时输入数个编码信号,并只对其中优先权最高的信号进行编码输出的电路。普通编码器在任何时刻只允许一个输入端请求编码,否则输出发生混乱。

3位二进制优先编码器在优先编码器中优先级别高的信号排斥级别低的,即具有单方面排斥的特性。设I7的优先级别最高,I6次之,依此类推,I0最低。真值表逻辑表达式逻辑图8线-3线优先编码器如果要求输出、输入均为反变量,则只要在图中的每一个输出端和输入端都加上反相器就可以了。CT74LS147I8I1I2I3I4I5I6I7Y0Y1Y2Y3I9二-十进制优先编码器CT74LS147

I9=1,I8=0时,不论I0~I7为0还是

1,电路只对I8进行编码,输出反码0111。反码输出被编信号输入,(省略了I0),低电平有效。0111111111110101111111110×00111111110××1101111110×××010111110××××10011110×××××0001110××××××111010×××××××01100××××××××1111111111111Y0Y1Y2Y3I9I8I7I6I5I4I3I2I1输出输入

I9=0时,不论其他Ii为0

还是1,电路只对I9进行编码,输出Y3Y2Y1Y0=0110,为反码,其原码为1001。111010×××××××01100××××××××1111111111111无编码请求Y3Y2Y1Y0=1111依次类推CT74LS147I8I1I2I3I4I5I6I7Y0Y1Y2Y3I9

被编信号优先级别从高到低依次为

I9、I8、I7、I6、I5、

I4、I3、I2、I1、I0。CT74LS4147编码器功能表I9Y0I8I7I6I5I4I3I2I1Y1Y2Y31111111111111输入(低电平有效)输出(8421反码)0

011010

0111110

10001110

100111110

1010111110

10111111110

110011111110

1101111111110111010线-4线集成编码器主要有:54/74HC42、54/74LS147等。147逻辑符号和引脚功能如图所示。I4I5I6I7I8Y2Y1GNDVCCI9Y3I3I2I1I0Y01234567874LS147161514131211109

(b)管脚图二-十进制优先编码器74LS14714679101112131234515I0I1I2I3I4I5I6I7I8I974LS147(a)符号图Y3Y2

Y1

Y0低电平有效集成优先编码器(8线-3线)1615141312111091234567874LS14874LS348为选通输入端,低电平有效编码器工作时禁止编码,输出均被锁定在高电平集成优先编码器(8线-3线)为选通输出端,只有当都为“1”,且=0时,,表示无编码信号输入,级连时可以扩展优先编码功能。为优先扩展输出端,只要有任何一个编码输入,且=0时,,无编码输入时为“1”。级连时可作输出位的扩展端用二片148接成16线-4线优先编码器74LS148(1)74LS148(2)&&&&Y3Y2Y1Y0(2)有编码为0,无编码时为101110110主要要求:

理解译码的概念。

掌握二进制译码器CT74LS138的逻辑功能和使用方法。

2.译码器

理解其他常用译码器的逻辑功能和使用方法。掌握用二进制译码器实现组合逻辑电路的方法。一、译码的概念与类型

译码是编码的逆过程。

将表示特定意义信息的二进制代码翻译出来。

实现译码功能的电路

译码器

二进制译码器二-十进制译码器

数码显示译码器

译码器

二进制代码

与输入代码对应的特定信息

译码器

二、二进制译码器

将输入二进制代码译成相应输出信号的电路。n

二进制代码

2n

译码输出二进制译码器

译码输出100011010001001010000100Y3Y2Y1Y0A0A1译码输入译码输出高电平有效译码输出011111101101110110111000Y3Y2Y1Y0A0A1译码输入0000译码输出低电平有效CT74LS138A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7逻辑功能示意图3线-8线译码器CT74LS138简介

3位二进制码输入端8个译码输出端低电平有效。

使能端STA高电平有效,

STB、STC低电平有效,即当STA=1,

STB=STC=0时译码,否则禁止译码。0111111111101101111110110111011111101011110111100101111101111100111111011010011111110110001111111100000111111111××××011111111×××1×Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2STB+STCSTA输出输入CT74LS138

真值表允许译码器工作禁止译码

Y7~Y0由输入二进制码A2、A1、A0的取值决定。011111111111111111010101010101010100010000000000输出逻辑函数式Y0=A2A1A0=m0Y1=A2A1A0=m1Y2=A2A1A0=m2Y3=A2A1A0=m3Y4=A2A1A0=m4Y5=A2A1A0=m5Y6=A2A1A0=m6Y7=A2A1A0=m700001000Y0=A2A1A0=m0Y1=A2A1A0=m1二进制译码器能译出输入变量的全部取值组合,故又称变量译码器,也称全译码器。其输出端能提供输入变量的全部最小项。

由函数式,可以方便地用与非门设计3线-8线译码器的逻辑电路,如图所示。

3线-8线译码器的逻辑电路A2A1A0&&&&&&&&1G7G6G5G4G3G2G1G011将BCD码的十组代码译成0~9十个对应输出信号的电路,又称4线–10线译码器。三、二-十进制译码器8421BCD码输入端,从高位到低位依次为A3、A2、A1和A0。10个译码输出端,低电平0有效。4线-10线译码器CT74LS42逻辑示意图Y1Y0Y3Y4Y2Y5Y6Y7Y8Y9A0A1A2CT74LS42A3111111111111111111111111011111111111111011111111111100111111111111110111111111110101伪码011111111110019101111111100018110111111111107111011111101106111101111110105111110111100104111111011111003111111101101002111111110110001111111111000000Y9Y8Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2A3输出输入十进制数4线-10线译码器CT74LS42真值表00000010001001000111100110101000101100010000000000111111111111111111111111111111111111111111111111111111111111111101111011001111010101伪码01由功能表可以写出输出状态函数为:由函数式,可以方便地用与非门设计4线-10线译码器的逻辑电路

4线-10线译码器的与非门逻辑电路A2A1A0&1&&&&&&&11G7G6G5G4G3G2G1G01&&G9G8A3YA0A1A2数码显示译码器译码器YYYYYY驱动器YYYYYYYA3a数码显示器bcdefgbcdefgabcdefga四、数码显示译码器

将输入的BCD码译成相应输出信号,以驱动显示器显示出相应数字的电路。

(一)数码显示译码器的结构和功能示意0101a数码显示器bcdefgYA0A1A2数码显示译码器译码器YYYYYY驱动器YYYYYYYA3bcdefgabcdefga输入BCD码输出驱动七段数码管显示相应数字0001(二)数码显示器简介数字设备中用得较多的为七段数码显示器,又称数码管。常用的有半导体数码显示器(LED)和液晶显示器(LCD)等。它们由七段可发光的字段组合而成。

七段半导体数码显示器(LED)abcdefgDPagfCOMbcedCOMDPabcdefgDP发光字段,由管脚a~g电平控制是否发光。小数点,需要时才点亮。显示的数字形式510YaYbYgabg510510Ya-Yg:控制信号高电平时,对应的LED亮低电平时,对应的LED灭b=c=f=g=1,a=d=e=0时c=d=e=f=g=1,a=b=0时共阴极主要优点:字形清晰、工作电压低、体积小、可靠性高、响应速度快、寿命长和亮度高等。

主要缺点:工作电流大,每字段工作电流约10mA。共阳接法

共阴接法

半导体数码显示器内部接法

DPgfedcbaDPgfedcba

VCC+5V串接限流电阻

a~g和DP为低电平时才能点亮相应发光段。

a~g和DP为高电平时才能点亮相应发光段。共阳接法数码显示器需要配用输出低电平有效的译码器。

共阴接法数码显示器需要配用输出高电平有效的译码器。RR共阳极共阴极3.七段显示译码器4线–7段译码器/

驱动器CC14547的逻辑功能示意图CC14547BIDCBABIYgYfYeYdYcYbYa消隐控制端,低电平有效。8421码输入端译码驱动输出端,高电平有效。4线-7段译码器/驱动器CC14547真值表消隐000000001111消隐000000001111消隐000000010111消隐000000000111消隐000000011011消隐0000000010119110011110011811111110001170000111111016111110001101511011011010141100110001013100111111001210110110100110000110100010011111100001消隐0000000××××0YgYfYeYdYcYbYaABCDBI数字显示输出输入4线-7段译码器/

驱动器CC14547的逻辑功能示意图CC14547BIDCBABIYgYfYeYdYcYbYa0000000××××0消隐1111111111111111011101111011001111010101消隐消隐消隐消隐消隐消隐987654321011001111111111000011111111001101101110011010011111011011000011001111111001000111100110101000101100010010000000允许数码显示伪码相应端口输出有效电平1,使显示相应数字。输入BCD码agfbc禁止数码显示

五.译码器的应用

(1)

用二进制译码器实现组合逻辑函数

由于二进制译码器的输出端能提供输入变量的全部最小项,而任何组合逻辑函数都可以变换为最小项之和的标准式,因此用二进制译码器和门电路可实现任何组合逻辑函数。当译码器输出低电平有效时,多选用与非门;译码器输出高电平有效时,多选用或门。由于有A、B、C三个变量,故选用3线

-8线译码器。解:(1)根据逻辑函数选择译码器[例]试用译码器和门电路实现逻辑函数选用3线-8线译码器CT74LS138,并令A2=A,A1=B,A0=C。(2)将函数式变换为标准与-或式(3)根据译码器的输出有效电平确定需用的门电路ABCYY1Y0Y3Y4Y2Y5Y6Y71STASTBSTCA0A1A2CT74LS138(4)画连线图Y&CT74LS138输出低电平有效,,i=0~7因此,将Y函数式变换为采用

5输入与非门,其输入取自Y1、Y3、Y5、Y6和Y7。CT74LS138(1)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7CT74LS138(2)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY8Y9Y10Y11Y12Y13Y14Y15A2A1A0EA31A3A2A1A0低位片高位片

(2)译码器的扩展

例如两片CT74LS138组成的4线–16线译码器。16个译码输出端4位二进制码输入端低3位码从各译码器的码输入端输入。A2A1A0A2A1A0A2A1A0STA1STBA3STASTCSTCSTBE高位码A3与高位片STA端和低位片STB端相连,因此,A3=0时低位片工作,A3=1时高位片工作。STA不用,应接有效电平1。作4线–16线译码器使能端,低电平有效。CT74LS138组成的4线–16线译码器工作原理E=1时,两个译码器都不工作,输出Y0~Y15都为高电平1。CT74LS138(1)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7CT74LS138(2)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY8Y9Y10Y11Y12Y13Y14Y15A2A1A0EA31低位片高位片A3A2A1A0A2A1A0A2A1A0A2A1A0STA1STBA3STASTCSTCSTBE(1)A3=0时,高位片不工作,低位片工作,译出与输入0000~0111分别对应的8个输出信号Y0~Y7。(2)A3=1时,低位片不工作,高位片工作,译出与输入1000~1111分别对应的

8

个输出信号

Y8~

Y15。E=0时,允许译码。译码器综合应用例:实现函数

可见,用3线-8线译码器和一个与非门就可实现函数Y。该函数具有三变量单检“1”功能。ABC

+5V

138片A0A1A2E1E2AE2B

Y&

解:如图所示,将输入变量A、B、C分别代替A2、A1、A0,则可得到逻辑函数

逻辑图

例:实现三人多数表决逻辑功能。解:分析、整理三人多数逻辑函数。ABCY00000101001110010111011100010111

ABC+5V

Y

&

逻辑图138片A0A1A2E1E2AE2B

译码器构成全加器分析全加器逻辑函数,并和译码器输出函数组比较,然后设计电路。

全加器的真值表输入输出AiBi

Ci-1C+S+0000010100111001011101110001011001101011和138输出函数比较后可得:②画出用二进制译码器和与非门实现这些函数的接线图。①写出函数的标准与或表达式,并变换为与非-与非形式。

用二进制译码器实现码制变换十进制码8421码十进制码余3码

小结把代码状态的特定含义翻译出来的过程称为译码,实现译码操作的电路称为译码器。实际上译码器就是把一种代码转换为另一种代码的电路。译码器分二进制译码器、十进制译码器及字符显示译码器,各种译码器的工作原理类似,设计方法也相同。二进制译码器能产生输入变量的全部最小项,而任一组合逻辑函数总能表示成最小项之和的形式,所以,由二进制译码器加上或门即可实现任何组合逻辑函数。此外,用4线-16线译码器还可实现BCD码到十进制码的变换。主要要求:理解数据选择器和数据分配器的作用。理解常用数据选择器的逻辑功能及其使用。掌握用数据选择器实现组合逻辑电路的方法。6.3数据选择器和数据分配器

D0YD1D2D34

1

数据选择器工作示意图A1A0一、数据选择器的作用数据选择器:根据地址码的要求,从多路输入信号中选择其中一路输出的电路.又称多路选择器(Multiplexer,简称MUX)或多路开关。多路输入一路输出地址码输入10Y=D1D1常用2选1、4选1、8选1和16选1等数据选择器。

数据选择器的输入信号个数N与地址码个数n的关系为N=2n6.3.1数据选择器1、2选1数据选择器1&&D0D1A1FAF0D01D1F=AD0+AD1输入数据输出数据控制信号集成化D0D1YA型号:74LS157二、数据选择器的逻辑功能及其使用2、4选1数据选择器D0A0D3D2D1A1Y4选1数据选择器真值表逻辑表达式地址变量输入数据由地址码决定从4路输入中选择哪1路输出。Y=A1A0D0+A1A0D1+A1A0D2+A1A0D34选1数据选择器&&&&1DOD1D2D311YA0A1双4选1数据选择器CC14539CC145391STA1A01D01D31D21D11ST1Y2Y双4选1数据选择器CC14539逻辑功能示意图

2D02D32D22D12ST2ST

两个数据选择器的公共地址输入端。数据选择器1的输出

数据选择器1的数据输入、使能输入。

数据选择器2的数据输入、使能输入。数据选择器2的输出内含两个相同的4

选1数据选择器。1×××11100×××01101××1×0100××0×0101×1××1000×0××10011×××00000×××0000××××××11Y1D01D11D21D3A0A11ST输出输入CC14539数据选择器1真值表1D01D11D21D31ST使能端低电平有效1×××11100×××01101××1×0100××0×0101×1××1000×0××10011×××00000×××0001D01D11D21D30××××××1数据选择器2的逻辑功能同理。

1ST=1时,禁止数据选择器工作,输出1Y=0。

1ST=0时,数据选择器工作。输出哪一路数据由地址码

A1A0决定。

CC14539数据选择器输出函数式1Y=A1A01D0+A1A01D1+A1A01D2+A1A01D3

=m01D0+m11D1+m21D2+m31D32Y=A1A02D0+A1A02D1+A1A02D2+A1A02D3

=m02D0+m12D1+m22D2+m32D3CT74LS151STA2A1A0D0D7D6D5D4D3D2D1STYYCT74LS151的逻辑功能示意图8路数据输入端地址信号输入端互补输出端使能端,低电平有效3、8选1数据选择器CT74LS151

CT74LS151STA2A1A0D0D7D6D5D4D3D2D1STYYCT74LS151逻辑功能示意图ST

=

1

时禁止数据选择器工作

ST

=

0

时,数据选择器工作。选择哪一路信号输出由地址码决定。8选1数据选择器CT74LS151真值表D7D71110D6D60110D5D51010D4D40010D3D31100D2D20100D1D11000D0D0000010×××1YYA0A1A2ST输出输入因为若A2A1A0=000,则因为若A2A1A0=010,则Y=D0Y=D2D7D71110D6D60110D5D51010D4D40010D3D31100D2D20100D1D11000D0D0000010×××1YYA0A1A2ST输出输入CT74LS151输出函数表达式1000000000100000Y=A2A1A0D0+A2A1A0D1+

A2A1A0D2+A2A1A0D3+

A2A1A0D4+A2A1A0D5+

A2A1A0D6+A2A1A0D7Y=A2A1A0D0+A2A1A0D1+

A2A1A0D2+A2A1A0D3+

A2A1A0D4+A2A1A0D5+

A2A1A0D6+A2A1A0D7=m0D0+m1D1+m2D2+m3D3+

m4D4+m5D5+m6D6+m7D7三、用数据选择器实现组合逻辑函数

由于数据选择器在输入数据全部为1时,输出为地址输入变量全体最小项的和。例如4选1数据选择器的输出Y=m0D0+m1D1+m2D2+m3D3当D0=D1=D2=D3=1时,Y=m0+m1+m2+m3。当D0~D3为0、1的不同组合时,Y可输出不同的最小项表达式。而任何一个逻辑函数都可表示成最小项表达式,

当逻辑函数的变量个数和数据选择器的地址输入变量个数相同时,可直接将逻辑函数输入变量有序地接数据选择器的地址输入端。因此用数据选择器可实现任何组合逻辑函数。

CT74LS151有A2、A1

、A0三个地址输入端,正好用以输入三变量A、B、C。[例]试用数据选择器实现函数

Y=AB+AC+BC。该题可用代数法或卡诺图法求解。Y为三变量函数,故选用8选1数据选择器,现选用CT74LS151。代数法求解解:(2)写出逻辑函数的最小项表达式Y=AB+AC+BC=ABC+ABC+ABC+ABC(3)

写出数据选择器的输出表达式Y′=A2A1A0D0+A2A1A0D1+A2A1A0D2+A2A1A0D3+

A2A1A0D4+A2A1A0D5+A2A1A0D6+A2A1A0D7(4)比较

Y和

Y′两式中最小项的对应关系(1)选择数据选择器令A=A2,B=A1,C=A0则Y′=ABCD0+ABCD1+ABCD2+ABCD3+

ABCD4+ABCD5+ABCD6+ABCD7ABCABCABCABCABCABCABCABC+++为使Y=Y′,应令D0=

D1=D2=D4=0D3=

D5=D6=D7=1(5)画连线图CT74LS151A2A1A0D0D7D6D5D4D3D2D1STYYY′ABC1即可得输出函数D0D2D1D4D7D6D5D31(1)选择数据选择器选用CT74LS151(2)画出

Y和数据选择器输出

Y

的卡诺图(3)比较逻辑函数

Y

Y的卡诺图设Y=Y、A=A2、B=A1、C=A0对比两张卡诺图后得D0=

D1=D2=D4=0D3=

D5=D6=D7=1(4)画连线图ABC0100011110

1

1

1

1

0

0

0

0Y的卡诺图A2A1A00100011110

D6D7D5D3D0D1D2D4Y′的卡诺图1

1

1

1

D6D7D5D3卡诺图法求解解:与代数法所得图相同小结

数据选择器是能够从来自不同地址的多路数字信息中任意选出所需要的一路信息作为输出的组合电路,至于选择哪一路数据输出,则完全由当时的选择控制信号决定。数据选择器具有标准与或表达式的形式,提供了地址变量的全部最小项,并且一般情况下,Di可以当作一个变量处理。因为任何组合逻辑函数总可以用最小项之和的标准形式构成。所以,利用数据选择器的输入Di来选择地址变量组成的最小项mi,可以实现任何所需的组合逻辑函数。用数据选择器实现组合逻辑函数的步骤:选用数据选择器→确定地址变量→求Di→画连线图。数据分配器:根据地址码的要求,将一路数据分配到指定输出通道上去的电路。Demultiplexer,简称DMUXY0DY1Y2Y34

路数据分配器工作示意图A1A0一路输入多路输出地址码输入10Y1=DD6.3.2数据分配器1路-4路数据分配器由地址码决定将输入数据D送给哪1路输出。真值表逻辑表达式地址变量输入数据逻辑图集成数据分配器及其应用集成数据分配器把二进制译码器的使能端作为数据输入端,二进制代码输入端作为地址码输入端,则带使能端的二进制译码器就是数据分配器。由74LS138构成的1路-8路数据分配器数据输入端G1=1G2A=0地址输入端数据分配器的应用数据分配器和数据选择器一起构成数据分时传送系统小结数据分配器的逻辑功能是将1个输入数据传送到多个输出端中的1个输出端,具体传送到哪一个输出端,也是由一组选择控制信号确定。数据分配器就是带选通控制端即使能端的二进制译码器。只要在使用中,把二进制译码器的选通控制端当作数据输入端,二

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论