浅论FPGA的VGA汉字显示系统设计与实现论文_第1页
浅论FPGA的VGA汉字显示系统设计与实现论文_第2页
浅论FPGA的VGA汉字显示系统设计与实现论文_第3页
浅论FPGA的VGA汉字显示系统设计与实现论文_第4页
浅论FPGA的VGA汉字显示系统设计与实现论文_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第页共页浅论FPGA的VGA汉字显示系统设计与实现论文浅论FPGA的VGA汉字显示系统设计与实现论文【摘要】:^p:提出一种基于Xilinx公司的Spartan一3E的FPGA显示方案,由于FPGA芯片具有可靠性高、编程灵敏、体积小等优点,采用其控制VGA接口进展汉字显示,有效地解决了通用途理器控制VGA接口显示汉字的缺点。对新方案进展理论分析^p和实验,结果证明该方案到达了预期效果。【关键词】:^p:显示绘图陈列;现场可编程门陈列;VGA汉字显示随着科技的开展,VGA汉字显示系统的应用范围越来越广泛,传统的VGA字符显示方案是通过通用途理器控制VGA接口显示字符信息的,这种显示方案是以通用途理器为核心的处理系统,整个系统体积大、可靠性不高且灵敏性差,不合适便携设备的设计。而FPGA芯片具有可靠性高、编程灵敏和体积小等特点,因此用FPGA技术来实现VGA汉字显示可以有效解决传统技术中的缺点。FPGA管脚资丰富,运行速度快,可以实现大规模的系统设计,而且由于FPGA具有可重构才能和抗干扰才能强等优点,使其越来越多地应用于工业控制领域。利用FPGA控制VGA显示汉字,可使汉字显示脱离Pc机的控制,构建体积小、功耗低的各种嵌入式系统,可应用在人机界面、地面勘测、电子设备、智能仪表和性能监测等方面。1、VGA汉字显示VGA的汉字显示是利用VGA的显示原理,使用正确的时序信号对VGA接口相应管脚进展控制输出RGB颜色信息来显示相应的字符信息,其中VGA显示原理及时序信号的控制必须遵循VGA的工业标准。显示处理前端中的SRAM中存储的每一位数据对应相应LCD显示屏上一个像素点的亮和灭,“1”表示亮,“0”表示灭。在显示设备上显示汉字也就是按照汉字的点阵图向显示器上输出1或0的上下电平,从而在显示器上显示出详细的汉字。2、VGA显示控制器的FPGA实现FPGA芯片作为中央控制器控制整个系统的处理,根据自顶向下的设计流程,按照层次化、构造化的设计方法可以将FPGA系统划分为以下几个模块:顶层模块、分频模块、VGA控制模块、存储功能模块和RAM读取控制模块。系统工作原理:系统加电FPGA芯片读人配置信息,配置完成后,FPGA进人工作状态,将要显示的汉字的字模信息初始化到单口RAM中,由系统时钟产生时序,程序根据时序信息控制VGA接口输出行、场同步及颜色信息到显示器上。2.1顶层模块根据自顶向下设计方法,首先定义顶层功能块。顶层模块处于重要的位置,定义好顶层模块功能后,才能进而分析^p哪些是构成顶层模块必要的子模块,然后进一步对各个子模块进展分解,直到到达无法进一步分解的底层功能块。顶层模块主要负责规定各个模块之间的数据信号和控制信号的连接关系,也就是实例化各子模块,并且接收RAM读取控制模块传来的汉字字模信息数据流,根据数据流信息中比特位为1的位赋予红色,为0的位赋予蓝色,即用红色表示汉字,用蓝色来填充背景。2.2分频模块时序的驱动是设计VGA显示的控制需要注意的一个重要问题,这也是实验是否成功的关键设计。时序不正确,必定不能正常显示,有时甚至会损坏显示设备。因此,对于时序的设计我们必须遵循VGA的工业标准,在设计中使用的分辨率为640×480,根据VGA的工业标准,其像素的刷新率为25MHz。而实验采用的实验板提供的时钟频率为50MHz,因此必须将系统进展分频设计,即进展二分频的设计。50MHz的时钟频率经过分频后得到实验所需的25MHz频率,此频率将作为顶层控制模块,VGA控制模块和RAM读取控制模块的系统时钟。2.3VGA控制模块VGA信号的电平驱动是设计VGA显示的控制需要注意的另一个重要问题,这是正确显示文字图像的重要设计,假如设计不当,那么在显示器上就不能正确显示文字图像。这个模块主要是根据VGA的工业标准进展设计的,用verilog语言将工业标准用程序表示出来。VGA时序信号产生包括行点计数器x—cnt(计数个数用表示)、场点计数器y—cnt(计数个数用rt表示)、行同步信号hsync、场同步信号vsync、有效显示区Visiblearea等。其中行点计数器是800进制计数器,场点计数器是525进制计数器。根据VGA时序的工业标准行、场同步信号有4种状态:同步脉冲信号(Sync),显示后沿信号(BackPorch),可视显示区(Visiblearea),显示前沿(FrontPorch)。这4种状态具有很明晰的时序规律,可以用有限状态机来实现这4种状态的转换,用h—state来表示行同步状态机的4种状态:h—sync,h—back,h—visible,h—front;v—state来表示场同步状态机的4种状态:v—sync,v—back,v—visible,v—front。行、场计数器的值决定了状态机在何时进展状态翻转。行状态机复位时,进入行同步状态h—sync,此时行同步信号输出低电平;当行计数器的计数值到达96时,状态机翻转进人行消隐后沿h—back状态,此时仍为消隐阶段;当行计数器的计数值到达144时,状态机翻转进入h—visible状态,它对应每行的有效显示区域,共包含640点,在此区域以外的任何局部都不被显示;当行计数器的计数值到达784时,状态机进入行消隐前沿h—front状态,此时处于消隐阶段。当行计数器计数值到达799时,行状态机进入h—sync状态,同时行计数器的复位信号为高电平,计数器复位。与行有限状态机状态转移类似,需要注意的是行扫描是从左到右地扫描显示,而场扫描是自上而下地扫描显示,显示区域中行与场确定的一个坐标位置为一个像素点,并且只有在行、场状态都为有效可视状态(h—visibl~=1且v—visible=1)时,即行有效显示区域和场有效显示区域的逻辑与值为1时,才能在显示设备上显示。行、场消隐信号的逻辑与为复合消隐信号,处于复合消隐阶段的信号不能在显示设备上显示。2.4存储功能模块存储功能模块的主要功能是存储文字信息,其存储媒介为FPGA内部的硬核块RAM,块RAM是以硬核的方式内嵌到FPGA芯片中的,不占用芯片的逻辑资,是FPGA芯片内部的一种珍贵资。FPGA内嵌的块RAM组件可配置为单口RAM、双口RAM、分布式ROM、块ROM、内容地址存储器CAM和先进先出存储器FIFO等存储构造J。本文中所做实验只进展文字显示,所以可以使用单口RAM存储文字信息,假如想实现更复杂的设计如:文字的滚动显示、图片的动画显示等可以使用双口RAM进展设计。实验使用开发软件XinlinxISE中的IP核生成工具COREGenerator生成实验用的单口RAM,并将其要显示的文字信息初始化到RAM中。实验设置显示7个汉字,每个汉字为16×16点阵,所以RAM的数据深度为l6,数据宽度为112。在工程工程里利用IP核生成工具生成单口RAM,在生成过程中把RAM的数据深度、宽度设置正确,并把事先做好的.coe文件即汉字的字模信息初始化到RAM中。2.5RAM读取控制模块RAM读取控制模块是VGA显示设备和存储数据信息的RAM之间通道,为了使VGA显示设备可以准确的显示文字图像信息,必须严格遵循显示设备的扫描规律,产生相应的显示信息。块RAM中的存储地址是由0到16组成的一维的'连续地址空间,实验显示的是由7个汉字组成的16×16的点阵,假如把这7个汉字看成一个整体,那么这个整体将占用112×16个像素点。本模块包括以下3局部内容:取出汉字字模信息,行、场计数器和坐标定位设计。显示汉字必须首先把汉字的字模信息提取出来,可以利用一个深度为16的存放器将RAM中的汉字字模信息暂存,待字符位置定位后再从存放器中取出相应显示信息产生汉字字模信息的数据流;用行列计数器确定坐标点,并用坐标的位置来确定文字显示位置,其实现与VGA时序控制中的行、场计数器一致;汉字显示区域实验从屏幕的坐标点(400,240)到坐标点(512,256)区域内显示字符。当坐标计数器刷新到坐标点(400,240)时就要相应地取存放器地址中的第1个数据作为产生汉字的第1行点阵信息的数据流,直到坐标点(512,240)时完毕第1行的显示,当坐标计数器刷新到坐标点(400,241)时就要取出存放器中显示汉字的第2行点阵信息,直到坐标点(512,241)时完毕第2行的显示,同理直到到达坐标点(512,256)时完毕字符显示。本模块产生的字符数据流将交由顶层模块来控制其显示颜色。3、系统实验3.1实验环境开发工具:XilinxISE9.2i;开发语言:Verilog;仿真工具:XilinxISE9.2iSimulator;实验开发板:Xinlinx公司的Spartan一3E。3.2仿真结果利用ISE内部的仿真软件对系统进展仿真。由仿真可以看出行、场同步(hsync、vsync)信号都有效(值为1),且行、场都处于可视区域内(程度有效显示区宽度与垂直有效显示区宽度逻辑与的区域为可视区域),输出使能信号vailid值为1,此时可以输出汉字信息,可以看出,当输出条件满足时,从SRAM中获取汉字信息,并将其输出,当有汉字输出时vga—r值为1,即输出汉字颜色为红色,无汉字输出时为背风光蓝色。3.3实验结果对实验进展调试,将编程数据下载到FPGA芯片中,再由显示器将汉字输出。本实验只做了一句话的实验测试,假如要实现更多字符显示,可以将更多字符信息存储到RAM字符信息库中,调取RAM中的信息进展显示即可。4、完毕语用FPGA来控制VGA显示,可以克制使用通用途理器设计系统所带来的不便和缺点。使用块RAM存储汉字信息,不占用芯片的逻辑资,不仅能保证较高的工作频率,而且还具有很低的动态功耗。实验实现了基于FPGA的汉字显示,方便了汉字信息的写入及内容的修改,可使汉字的显示脱离Pc机控制,减少控制器的体积,对于小型嵌入式系统及各种便携式设备实现汉字显示具有重要的现实意义和工程实用价值。拓展:论文格式与要求一般而言,非211、985学校的本科毕业论文字数在6000-8000左右(工程类需要制图的专业那么会超过这个数字),而一些要求较高或者重点学校那么要求论文字数在1万左右或以上,总之各个学校在论文字数上的规定都有细微的差异。一、本科生毕业论文主要内容1、题目(宋体,小二,居中)2、中文【摘要】:^p(200字以上),【关键词】:^p;字体:宋体、小四号,字符间距:标准;行距:20磅3、英文【摘要】:^p,【关键词】:^p;4、目录5、正文;字体:宋体、小四号,字符间距:标准;行距:20磅6、【参考文献】:^p。期刊内容包括:作者题名,刊名,年,卷(期):起始页码-完毕页码。著作内容包括:作者、编者,文献题名,出版社,出版年份,起止页码。7、附件:开题报告和检查情况记录表二、格式要求1、书写格式要求:填写工程必须用碳素或蓝黑墨水钢笔书写;2、文稿要求:文字通顺,语言流畅,版面整洁,便于装订。Word文稿A4纸打印。3、图纸要求:图面整洁,布局合理,线条粗细均匀,圆弧连接光滑,尺寸标准标准,文字注释必须使用工程字书写;4、曲线图表要求:所有曲线、图表、线路图、流程图、程序框图、示意图等不得简单徒手画,须按国家标准标准或工程要求绘制;5、公式要求:所有公式不得徒手书写,利用Microsoft公式编辑器或Mathtype编辑。三、毕业论文份量要求:毕业论文字数一般不少于1.5万字或相当信息量。外文文献阅读量的详细要求,由指导老师量化。四、毕业论文标

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论