半导体设备-中标专题(23年7-8月)_第1页
半导体设备-中标专题(23年7-8月)_第2页
半导体设备-中标专题(23年7-8月)_第3页
半导体设备-中标专题(23年7-8月)_第4页
半导体设备-中标专题(23年7-8月)_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1告工业半导体设备中标专题(23年7-8月)告工业半导体设备中标专题(23年7-8月)华泰研究专题研究增增持(维持)增持(维持)机械设备专用设备23年7-8月晶圆厂招标环比有所提升,关注晶圆厂招标复苏我们以长江存储、华力集成、华力微电子、福建晋华、华虹无锡、合肥晶合、上海积塔、中车时代、中芯绍兴、武汉新芯、燕东微电子11家中国大陆主要的IDM或代工企业为统计样本,通过中国国际招标网对上述晶圆厂中标情况进行梳理。2023年7-8月国内11家主流晶圆厂共开标50台工艺设备,环比(23年5-6月32台)有所上升,但同比(22年3-4月131台)下降。由于招标数据仅代表部分半导体设备企业订单情况,结合2023H1主要半导体设备公司如北方华创、中微公司、拓荆科技、芯源微、盛美股份等企业合同负债等订单指标表现相对良好,我们认为半导体招标扩产景气度在逐步复苏中,半导体设备订单有望进一步释放。炉管、刻蚀、后道测试设备为2023年7-8月国内晶圆厂主要开标设备2023年7-8月国内11家晶圆厂共开标50台工艺设备,主要来自燕东微电子(39台)、华虹无锡(6台)、上海积塔(4台)、时代电气(1台)等晶圆厂。按照不同工艺设备开标数量从高到低排列,分别为炉管设备(29台)、刻蚀设备(11台)、后道测试设备(3台)、沉积设备(3台)、光刻机(1台)、清洗设备(1台)、抛光设备(1台)、涂胶显影机(1台)。受国内沉积、清洗、炉管等设备厂家拉动,中标国产率持续提升31台,国产率达62.0%。国产率69.2.0%;分设备看,2023年7-8月国产率较高的环节主要为沉积设备(国内企业中标3台)、清洗设备(国内企业中标1台),国产率均达100.0%。聚焦7-8月份国内中标厂商,北方华创(中标26台)、中微半导体(中标2台)排名靠前,持续体现国内厂家在刻蚀、炉管等设备环节的强势地位。研究员SACNo.S0570522100004SFCNo.BTM566研究员SACNo.S0570523070001正洋nizhengyang@+(86)2128972228云逍yangyunxiao@+(86)2128972228华泰证券研究所分析师名录行业走势图机械设备(%)机械设备(%)沪深300717(5)(11)(17)Sep-22Jan-23May-23Aug-23资料来源:Wind,华泰研究关注半导体设备国产替代领军企业半导体设备国产率低的背景带来了较高的国产替代空间,国内半导体设备经过多年励精图治,已经涌现出多家在关键设备领域引领国产替代的厂家,在刻蚀、沉积、炉管、清洗、检测测试、离子注入等多环节实现了国产替代。随着半导体周期回暖和国内设备企业国产化进度加速,设备商有望率先受益于下游补库存带来订单释放,具有技术优势的龙头设备公司有望持续受益。2023年7-8月国内主流晶圆厂开标50台设备。我们以长江存储、华力集成、华力微电子、福建晋华、华虹无锡、合肥晶合、上海积塔、时代电气、中芯绍兴、武汉新芯、燕东微电子11家中国大陆主要的IDM或代工企业为统计样本,通过中国国际招标网对上述晶圆厂中标情况进行梳理(详细样本选取规则见本节末说明)。2023年7-8月国内11家主流晶圆厂共开标50台工艺设备。2023年1-8月国内11家晶圆厂累计共开标182台工艺设备。020年021年022年样本晶圆厂开标数量1819(台)113685456443250资料来源:中国国际招标网,华泰研究备(单位)样本晶圆厂开标数量(台)2,000样本晶圆厂开标数量(台)1,8001,6001,4001,2001,00080060040020002020年2021年2022年2023年1-8月资料来源:中国国际招标网,华泰研究样本选取规则:1、工艺设备定义:由于半导体设备应用的工艺流程和重要性的不同,我们主要统计光刻、刻蚀、沉积、清洗等涉及核心工艺的关键设备中标情况,并将关键半导体设备区分为制程核心设备(包含光刻、刻蚀、沉积、离子注入设备)、良率提升设备(包括前道检测设备、后道测试设备、清洗设备)以及重要辅助设备(包括炉管设备、CMP抛光设备、干法去胶设备、涂胶显影设备),并排除二手翻新设备影响。设备分类制程核心设备良率提升设备重要辅助设备设备名称光刻机刻蚀设备薄膜沉积设备离子注入设备前道检测设备后道测试设备清洗设备炉管设备干法去胶设备CMP抛光设备涂胶显影设备设备作用将光源把掩膜版上电路结构在涂有光刻胶的硅片进行曝光,将图案复制到硅片上。是指使用气态的化学刻蚀剂与硅片上未被光刻胶覆盖的材料发生物理或化学反应(或两者均有),以去除暴露的表面材料的过程。3pm之后的工艺大多采用干法刻蚀通过气体混合的化学反应,或者蒸镀、溅射等物理反应在硅片表面沉积薄膜的工艺通过高压离子轰击将杂质引入硅片,能在较低温度下,准确控制杂质掺入浓度和深度,重复性好。对氧化、刻蚀、光刻、离子注入等每道工艺后的晶圆进行无损的检查和测量,以保证关键工艺参数满足工艺指标的要求,从而保证芯片的成品率以及芯片最终出货的稳定性和可预期性,多用到电镜、膜厚测量设备,套刻对准设备等。对晶圆制造后或者封装后芯片功能和电性能的测试,包括探针台、分选机、测试机等设备在单晶硅片制造阶段,在过程工艺阶段的刻蚀、化学沉积、去胶等关键工艺前后进以及封装工艺中都需要进行清洗,减少缺陷、提高良率。IC行业所用的炉管目前主要是垂直式、按压力不同可分为常压和低压炉管,主要用于热氧化、热退火、热烘烤、合金及部分沉积工艺去除硅片上的光刻胶使用旋涂或CVD法在器件表面形成一层玻璃;然后将硅片放在一种包含有胶质的磨料悬浮液和腐蚀剂的碱性膏剂中进行机械研磨,使得金属和介质层的局部或全局平坦化将硅片置于真空吸盘上,采用旋转涂胶的方法涂上液相光刻胶材料,用显影液溶解光刻胶可溶区域,可见图形出现在硅片上,硅片用去离子水冲洗后甩干资料来源:《纳米集成电路制造工艺(第2版)》,华泰研究2、样本选择:统计范围以长江存储、华力集成、华力微电子、福建晋华、华虹无锡、合肥晶合、上海积塔、时代电气、中芯绍兴、武汉新芯、燕东微电子11家中国大陆主要的IDM或代工企业为主。以上11家企业分别覆盖存储、逻辑、驱动IC、功率、模拟等多类芯片制造,且2020-2023年均有新增招标信息,因此结果具有一定代表性和时效性。晶圆厂运营模式产品长江存储IDM3DNAND华力微电子(华虹5厂)Foundry65/55-28nm逻辑及特色工艺华力集成(华虹6厂)Foundry28-14nm逻辑及特色工艺华虹无锡(华虹7厂)Foundry90-65/55nm特色工艺中芯绍兴FoundryMEMS/IGBT/MOSFET上海积塔FoundryIGBT/模拟/电源管理/MEMS时代电气IDMIGBT福建晋华IDMDRAM合肥晶合Foundry显示驱动芯片武汉新芯IDM/FoundryNORFlash燕东微电子IDM/Foundry模拟集成电路/数字三极管/浪涌保护器资料来源:各公司官网,华泰研究3、数据来源:数据来源为中国国际招标网,统计单位为设备中标“台”数,为保证中标时间的领先性,我们以设备评标公示时间为准,并结合后续中标信息对评标但撤项的设备进。分晶圆厂看,2023年7-8月燕东微电子为主要扩产厂家,共开标39台工艺设备。不同厂家扩产及招标节奏不同,因此不同时间段各厂家扩产差异性较大。2023年7-8月开标的50台设备主要来自燕东微电子(39台)、华虹无锡(6台)、上海积塔(4台)、时代电气(1台),燕东微电子扩产贡献主要增量。2023年1-8月,上海积塔开标64台工艺设备。2023年1-8月开标的182台设备主要来自上海积塔(64台)、华虹无锡(53台)、燕东微电子(49台)、时代电气(14台)、华力集成(2台),上海积塔及华虹无锡贡献主要增量。长江存储99875800000华力微电子(华虹5厂)340000华力集成(华虹6厂)322461010华虹无锡(华虹7厂)201203522666中芯绍兴322800000上海积塔7930414304时代电气57346251福建晋华240000合肥晶合83000000武汉新芯84200000燕东微电子//2040639合计1819113685456443250资料来源:中国国际招标网,华泰研究分设备看,炉管设备、刻蚀设备贡献23年7-8月主要扩产增量。晶圆厂设备布局往往以光刻机为核心,围绕以多台刻蚀、薄膜沉积、清洗等设备,由于不同招标批次对应设备采购种类不同,因此不同时期工艺设备招标种类也会有所不同。2023年7-8月开标的50台设备中,按照不同工艺设备开标数量从高到低排列,分别为炉管设备(29台)、刻蚀设备(11台)、后道测试设备(3台)、沉积设备(3台)、光刻机(1台)、清洗设备(1台)、抛光设备(1台)、涂胶显影机(1台)。炉管设备、沉积设备、后道测试设备贡献23年1-8月主要扩产增量。2023年1-8月开标的182台设备中,按照不同工艺设备开标数量从高到低排列,分别为炉管设备(50台)、后道测试设备(36台)、沉积设备(33台)、刻蚀设备(30台)、前道检测设备(11台)、光刻机(9台)、清洗设备(5台)、离子注入机(4台)、涂胶显影设备(3台)、CMP抛光 (1台)。020年021年022年光刻372061刻蚀2092399487沉积2932461423清洗2572640131离子注入5032392200前道检测22870317130后道测试41137615793涂胶显影44231011CMP抛光8836280001干法去胶5740340000炉管2771396129合计1819113685456443250资料来源:中国国际招标网,华泰研究半导体设备中标国产率半导体设备中标国产率2023年7-8月中国大陆设备中标数量为31台,国产率达62.0%。我们以设备中标台数计算国产率(包含拥有翻新业务的厂家),由于不同设备价值量不同,因此与实际市场规模测算的国产率有一定差异。且考虑不同晶圆厂、不同工艺设备的招标批次不同,中国大陆厂家中标频次分布未必平均,造成国产率有一定波动。近年来受益于北方华创、中微公司、盛美半导体、芯源微、屹唐半导体、至纯科技、华海清科等中国大陆厂家的不断发展,在刻蚀、沉积、清洗、抛光、干法去胶、炉管、涂胶显影等领域半导体设备中标国产率较高。从年度数据来看,2022年设备国产率达39.1%,较2021年27.4%有明显提升。2023年7-8月主流晶圆厂开标的50台设备中,源自中国大陆厂家制造的设备共计31台,占比达62.0%。2023年1-8月开标的182设备中,源自中国大陆厂家制造的设备共计86台,占比达47.25%。50%45%40%35%30%25%20%15%10%5%0%2020年2021年2022年2023年1-8月资料来源:中国国际招标网,华泰研究半导体设备中标国产16.80%率27.40%46.43%36.36%40.63%62.00%资料来源:中国国际招标网,华泰研究分晶圆厂看,2023年1-8月,时代电气设备国产率超80%。2023年1-8月开标的182台设备主要来自上海积塔(64台)、华虹无锡(53台)、燕东微电子(49台)。国产设备中标数较多的晶圆厂为燕东微电子(33台)、上海积塔(32台)、时代电气(12台)。其中时代电气国产率达到85.7%,燕东微电子国产化率为67.3%。长江存储349900000华力微电子(华虹5厂)4000000华力集成(华虹6厂)28410000华虹无锡(华虹7厂)365657011中芯绍兴304800000上海积塔604622022时代电气60255241福建晋华020000合肥晶合8000000武汉新芯0700000燕东微电子//00627合计3063113342631国产率16.80%27.40%46.4%36.4%40.6%62.0%资料来源:中国国际招标网,华泰研究长江存储13.4%26.3%/////华力微电子(华虹5厂)26.7%0.0%0.0%////华力集成(华虹6厂)21.2%16.7%16.7%//0.0%/华虹无锡(华虹7厂)17.9%25.0%18.5%46.7%0.0%16.7%16.7%中芯绍兴22.7%37.5%/////上海积塔75.9%35.4%46.7%87.5%14.3%50.0%时代电气10.5%0.0%73.5%83.3%100.0%80.0%100.0%福建晋华0.0%11.8%41.7%////合肥晶合4.4%//////武汉新芯0.0%16.7%/////燕东微电子//65.0%0.0%/100.0%69.2%合计国产率16.8%27.4%46.43%36.4%40.6%62.0%资料来源:中国国际招标网,华泰研究分设备看:23年1-8月沉积、后道测试、涂胶显影设备国产率较高。按照国产设备中标数量从高到低排序,不同工艺设备国内厂家中标情况如下:炉管设备(24台)、沉积设备(23台)、后道测试设备(17台)、刻蚀设备(14台)、前道检测设备(4台)、清洗设备(2台)、光刻机(1台)、涂胶显影(1台)。其中国产率较高的环节主要为沉积设备(国产化率69.7%)、炉管设备(国产化率48.0%)、后道测试设备(国产化率47.2%)。2020年2021年2022年2023年1-2月2023年3-4月2023年5-6月2023年7-8月光刻2011000刻蚀683059211沉积3940399923清洗402543010离子注入4070000前道检测563100后道测试741347190涂胶显影8270010CMP抛光320000干法去胶27300000炉管632744430合计3063113342631国产率16.8%27.4%46.4%36.4%40.6%62.0%资料来源:中国国际招标网,华泰研究2020202120222023年1-2月2023年3-4月2023年5-6月2023年7-8月光刻5.4%0.0%50.0%/0.0%0.0%刻蚀32.5%24.4%59.6%50.0%12.5%14.3%90.9%沉积13.3%16.3%34.2%69.2%60.0%100.0%100.0%清洗32.0%34.7%67.2%/100.0%0.0%100.0%离子注入8.0%0.0%17.9%0.0%0.0%//前道检测7.0%18.6%42.7%42.9%100.0%0.0%/后道测试1.7%37.5%29.6%41.2%14.3%100.0%0.0%涂胶显影18.2%11.8%30.4%0.0%/100.0%0.0%CMP抛光36.4%41.7%50.0%///0.0%干法去胶47.4%45.0%88.2%////炉管22.7%23.9%22.4%40.0%30.0%0.0%58.6%合计国产率16.8%27.4%46.4%36.4%40.6%62.0%资料来源:中国国际招标网,华泰研究根据中国国际招标网数据,2023年7-8月份中标较多的中国大陆厂家为北方华创、中微公司、切普电子、悦匠实业、吉姆西等(含部分外贸及翻新业务厂家)。北方华创合计中标26台:中标燕东微电子17台炉管设备、7台刻蚀设备、1台沉积设备;中标时代电气1台沉积设备。中微公司合计中标2台:中标燕东微电子2台刻蚀设备。切普电子合计中标1台:中标上海积塔1台沉积设备。悦匠实业合计中标1台:中标上海积塔1台刻蚀设备。吉姆西合计中标1台:中标华虹无锡1台清洗设备。招标人项目名称招标产品数量地点中标/评标开始时间*中标人设备种类设备商简称时代电气背面金属溅射设备采购项目(第二背面金属溅射设备1湖南省2023/7/11北京北方华创微电子装备有限公司沉积设备北方华创上海积塔上海积塔半导体有限公司特色工艺生产线建设项目高刚性研磨机1上海市2023/7/18迪思科科技(中国)有限公司抛光设备DISCO上海积塔上海积塔半导体有限公司特色工艺生产线建设项目晶背刻蚀机1上海市2023/7/18LamResearchInternationalSdn.Bhd刻蚀设备Lam子燕东股份碳膜溅射设备和高温退火设备采购碳膜溅射设备1北京市2023/7/18北京北方华创微电子装备有限公司沉积设备北方华创子燕东股份碳膜溅射设备和高温退火设备采购高温退火炉设备2北京市2023/7/18北京北方华创微电子装备有限公司炉管设备北方华创上海积塔6寸铝硅铜金属溅射设备6寸铝硅铜金属溅射设备1上海市2023/8/15上海切普电子科技有限公司沉积设备切普电子上海积塔8寸金属刻蚀机设备项目8寸金属刻蚀机设备1上海市2023/8/15上海悦匠实业有限公司刻蚀设备悦匠实业华虹无锡华虹半导体(无锡)有限公司12英寸集成电路制造项目显影机1江苏省2023/8/24TokyoElectronLimited涂胶显影机TEL华虹无锡华虹半导体(无锡)有限公司12英寸集成电路制造项目光刻机1江苏省2023/8/24阿斯麦(上海)机电设备有限公司光刻机ASML华虹无锡华虹半导体(无锡)有限公司12英寸集成电路制造项目湿法清洗设备1江苏省2023/8/22吉姆西半导体科技(无锡)有限公司清洗设备吉姆西华虹无锡华虹半导体(无锡)有限公司12英寸集成电路制造项目自动探针台3江苏省2023/8/8株式会社东京精密备东京精密子燕东科技12吋介质膜刻蚀设备采购燕东科技12吋介质膜刻蚀设备采购2北京市2023/8/11南昌中微半导体设备有限公司刻蚀设备中微半导体子燕东科技12吋扩散炉设备采购高温扩散炉9北京市2023/8/10TokyoElectronLimited炉管设备TEL子燕东科技12吋扩散炉设备采购LPCVD(TEOS)扩散炉2北京市2023/8/10TokyoElectronLimited炉管设备TEL子燕东科技12吋扩散炉设备采购LPCVD(UPOLY)扩散炉1北京市2023/8/10TokyoElectronLimited炉管设备TEL子燕东科技12吋扩散与刻蚀设备采购中温氧化设备6北京市2023/8/10北京北方华创微电子装备有限公司炉管设备北方华创子燕东科技12吋扩散与刻蚀设备采购氮化硅扩散设备5北京市2023/8/10北京北方华创微电子装备有限公司炉管设备北方华创子燕东科技12吋扩散与刻蚀设备采购扩散合金设备4北京市2023/8/10北京北方华创微电子装备有限公司炉管设备北方华创子燕东科技12吋扩散与刻蚀设备采购金属刻蚀设备4北京市2023/8/10北京北方华创微电子装备有限公司刻蚀设备北方华创子燕东科技12吋扩散与刻蚀设备采购硅刻蚀设备3北京市2023/8/10北京北方华创微电子装备有限公司刻蚀设备北方华创注:*官网实际披露时间为2023年7-8月资料来源:中国国际招标网,华泰研究半导体周期持续回暖,国产替代进度加速,设备公司有望持续收益。半导体设备国产率低的背景也带来了较高的国产替代空间,国内半导体设备经过多年励精图治,已经涌现出多家在关键设备领域引领国产替代的厂家,在刻蚀、沉积、炉管、清洗、检测测试、离子注入等多环节实现了国产替代。随着半导体周期回暖和国内设备企业国产化进度加速,设备商有望率先受益于下游补库存带来订单释放,具有技术优势的龙头设备公司有望持续受益。公司名称中芯国际中微公司芯源微北方华创拓荆科技盛美上海燕东微电子时代电气AMATASMAxcelisLamResearchTELULVAC长江存储华力集成华力微电子福建晋华华虹无锡合肥晶合上海积塔中芯绍兴武汉新芯切普电子悦匠实业吉姆西代码688981CH688012CH688037CH002371CH688072CH688082CH688172CH688187CHAMATOASMASACLSOLRCXO8035T6728T------------资料来源:Wind,华泰研究提示晶圆厂扩产不及预期。受益于半导体行业景气度的提升,晶圆厂扩产规模持续增加,半导体设备需求旺盛。如果未来因为半导体行业竞争或下游需求变化等因素,导致晶圆厂扩产不及预期,将带来半导体设备行业景气下行风险。设备公司研发不及预期。当前部分国产半导体设备与进口设备仍存在性能差距较大的问题,国产替代进度具有一定不确定性。若半导体设备研发不及预期,将对公司业务增长和拓展产生重大影响。中美贸易摩擦风险。中美贸易摩擦存在不确定性,警惕中美贸易摩擦带来的市场情绪冲击和供应链风险。分析师声明本人,倪正洋、杨云逍,兹证明本报告所表达的观点准确地反映了分析师对标的证券或发行人的个人意见;彼以往、现在或未来并无就其研究报告所提供的具体建议或所表迖的意见直接或间接收取任何报酬。一般声明及披露本报告由华泰证券股份有限公司(已具备中国证监会批准的证券投资咨询业务资格,以下简称“本公司”)制作。本报告所载资料是仅供接收人的严格保密资料。本报告仅供本公司及其客户和其关联机构使用。本公司不因接收人收到本报告而视其为客户。本报告基于本公司认为可靠的、已公开的信息编制,但本公司及其关联机构(以下统称为“华泰”)对该等信息的准确性及完整性不作任何保证。本报告所载的意见、评估及预测仅反映报告发布当日的观点和判断。在不同时期,华泰可能会发出与本报告所载意见、评估及预测不一致的研究报告。同时,本报告所指的证券或投资标的的价格、价值及投资收入可能会波动。以往表现并不能指引未来,未来回报并不能得到保证,并存在损失本金的可能。华泰不保证本报告所含信息保持在最新状态。华泰对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本公司不是FINRA的注册会员,其研究分析师亦没有注册为FINRA的研究分析师/不具有FINRA分析师的注册资华泰力求报告内容客观、公正,但本报告所载的观点、结论和建议仅供参考,不构成购买或出售所述证券的要约或招揽。该等观点、建议并未考虑到个别投资者的具体投资目的、财务状况以及特定需求,在任何时候均不构成对客户私人投资建议。投资者应当充分考虑自身特定状况,并完整理解和使用本报告内容,不应视本报告为做出投资决策的唯一因素。对依据或者使用本报告所造成的一切后果,华泰及作者均不承担任何法律责任。任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺均为无效。除非另行说明,本报告中所引用的关于业绩的数据代表过往表现,过往的业绩表现不应作为日后回报的预示。华泰不承诺也不保证任何预示的回报会得以实现,分析中所做的预测可能是基于相应的假设,任何假设的变化可能会显著影响所预测的回报。华泰及作者在自身所知情的范围内,与本报告所指的证券或投资标的不存在法律禁止的利害关系。在法律许可的情况下,华泰可能会持有报告中提到的公司所发行的证券头寸并进行交易,为该公司提供投资银行、财务顾问或者金融产品等相关服务或向该公司招揽业务。华泰的销售人员、交易人员或其他专业人士可能会依据不同假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观点。华泰没有将此意见及建议向报告所有接收者进行更新的义务。华泰的资产管理部门、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。投资者应当考虑到华泰及/或其相关人员可能存在影响本报告观点客观性的潜在利益冲突。投资者请勿将本报告视为投资或其他决定的唯一信赖依据。有关该方面的具体披露请参照本报告尾部。本报告并非意图发送、发布给在当地法律或监管规则下不允许向其发送、发布的机构或人员,也并非意图发送、发布给因可得到、使用本报告的行为而使华泰违反或受制于当地法律或监管规则的机构或人员。本报告版权仅为本公司所有。未经本公司书面许可,任何机构或个人不得以翻版、复制、发表、引用或再次分发他人(无论整份或部分)等任何形式侵犯本公司版权。如征得本公司同意进行引用、刊发的,需在允许的范围内使用,并需在使用前获取独立的法律意见,以确定该引用、刊发符合当地适用法规的要求,同时注明出处为“华泰证券研究所”,且不得对本报告进行任何有悖原意的引用、删节和修改。本公司保留追究相关责任的权利。所有本报告中使用的商标、服务标记及标记均为本公司的商标、服务标记及标记。中国香港本报告由华泰证券股份有限公司制作,在香港由华泰金融控股(香港)有限公司向符合《证券及期货条例》及其附属法律规定的机构投资者和专业投资者的客户进行分发。华泰金融控股(香港)有限公司受香港证券及期货事务监察委员会监管,是华泰国际金融控股有限公司的全资子公司,后者为华泰证券股份有限公司的全资子公司。在香港获得本报告的人员若有任何有关本报告的问题,请与华泰金融控股(香港)有限公司联系。香港-重要监管披露•华泰金融控股(香港)有限公司的雇员或其关联人士没有担任本报告中提及的公司或发行人的高级人员。•有关重要的披露信息,请参华泰金融控股(香港)有限公司的网页.hk/stock_disclosure其他信息请参见下方“美国-重要监管披露”。美国在美国本报告由华泰证券(美国)有限公司向符合美国监管规定的机构投资者进行发表与分发。华泰证券(美国)有限公司是美国注册经纪商和美国金融业监管局(FINRA)的注册会员。对于其在美国分发的研究报告,华泰证券(美国)有限公司根据《1934年证券交易法》(修订版

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论