2020年数字电路与系统设计课后习题答案_第1页
2020年数字电路与系统设计课后习题答案_第2页
2020年数字电路与系统设计课后习题答案_第3页
2020年数字电路与系统设计课后习题答案_第4页
2020年数字电路与系统设计课后习题答案_第5页
已阅读5页,还剩113页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电路与系统设计课后习题答案

L1将下列各式写成按权展开式:

(352.6)io=3XlO^SxlO^lxlOMxlO1

(101.101)2=1X22+1X20+1X21+1X2-3

(54.6)8=5X81+54X8°+6X81

(13A.4F)

16=1X162+3X161+10X160+4X161+15X162

L2按十进制0~17的次序,列表填写出相应的二

进制、八进制、十六进制数。

解:略

1.3二进制数00000000~11111111和~分别能够代

表多少个数?

解:分别代表28=256和21。=1024个数。

1.4将下列个数分别转换成十进制数:()2,

(1750)8,(3E8)16

解:()2=(1000)io

(1750)8=(1000)io

(3E8)16=(1000)io

L5将下列各数分别转换为二进制数:(210)8,

资料仅供参考

(136)io,(88)16

解:结果都为:(10001000)2

1.6将下列个数分别转换成八进制数:(111111)

2,(63)io,(3F)16

解:结果都为(77)8

1.7将下列个数分别转换成十六进制数:

(11111111)2,(377)8,(255)10

解:结果都为(FF)16

1.8转换下列各数,要求转换后保持原精度:

解:(1.125)10=(1.)10——小数点后至少

取10位

(001010110010)2421BCD=(11111100)2

(0110.1010)余3循环BCD码=(1.1110)2

1.9用下列代码表示(123)io,(1011.01)2:

解:(1)8421BCD码:

(123)10=(000100100011)8421BCD

(1011.01)2=(11.25)10=(0001

0001.00100101)8421BCD

资料仅供参考

(2)余3BCD码

(123)io=(010001010110)余3BCD

(1011.01)2=(11.25)10=(0100

0100.01011000)余3BCD

1.10已知A=(1011010)2,B=(101111)2,C=

(1010100)2,D=(110)2

(1)按二进制运算规律求A+B,A-B,CxD,

C4-D,

(2)将A、B、C、D转换成十进制数后,求

A+B,A-B,CxD,CvD,并将结果与(1)

进行比较。

解:(1)A+B=(10001001)2=(137)io

A-B=(101011)2=(43)io

CxD=()2=(504)io

C4-D=(1110)2=(14)io

(2)A+B=(90)io+(47)io=(137)io

A-B=(90)io-(47)io=(43)io

CxD=(84)iox(6)io=(504)io

C+D=(84)iov(6)io=(14)io

两种算法结果相同。

Lu试用8421BCD码完成下列十进制数的运

资料仅供参考

舁。

解:(1)5+8=(0101)8421BCD+C1000)8421BCD=1101

+0110=(10110)8421BCD=13

(2)9+8=(1001)8421BCD+(1000)8421BCD=1

0001+0110=(10111)8421BCD=17

(3)58+27=(01011000)8421BCD+(00100111)

8421BCD=01111111+0110=(10000101)

8421BCD=85

(4)9-3=(1001)8421BCD-(0011)8421BCD=(0110)

8421BCD=6

(5)87-25=(10000111)8421BCD-(00100101)

8421BCD=(01100010)8421BCD=62

(6)843-348=(100001000011)8421BCD-(0011

01001000)8421BCD

=010011111011-01100110=

(010010010101)8421BCD=495

i.i2试导出1位余3BCD码加法运算的规则。

解:1位余3BCD码加法运算的规则

加法结果为合法余3BCD码或非法余

3BCD码时,应对结果减3修正[即减(0011)21;

相加过程中,产生向高位的进位时,应对产生

进位的代码进行“加33修正”[即加(0011

资料仅供参考

0011)2]o

2.1有A、B、C三个输入信号,试列出下列问

题的真值表,并写出最小项表示式Em()O

(1)如果A、B、c均为0或其中一个信号为

1时。输出F=L其余情况下F=0o

(2)若A、B、C出现奇数个0时输出为1,

其余情况输出为0o

(3)若A、B、C有两个或两个以上为1时,

输出为1,其余情况下,输出为0。

解:Fi(A,B,C)=Lm(0,1,2,4)

F2(A,B,C)=Em(0,3,5,6)

F3(A,B,C)=Lm(3,5,6,7)

2.2邈戛值表证明下列等式:

(1)AB+BC+AC=ABC+ABC

(2)AB+B^+AC=ABBCAC

证明:(1)

AB+B~ABC+一

ABCC+ACABCABC

资料仅供参考

00010001

00100010

01000100

Oil00110

10001000

10101010

11001100

11111111

真值表相同,因此等式成立。

(2)略

2.3对下列函数,说明对输入变量的哪些取值组

合其输出为1?

(1)F(A,B,C)=AB+BC+AC

(2)F(A,B,C)=(A+B+C)(A+B+C)

(3)F(A,B,C)=(AB+BC+AC)AC

解:本题可用真值表、化成最小项表示式、卡

诺图等多种方法求解。

(1)F输出1的取值组合为:011、101、110、

111O

(2)F输出1的取值组合为:001、010、011>

100、101、110o

资料仅供参考

(3)F输出1的取值组合为:101o

2.4试直接写出下列各式的反演式和对偶式。

(1)F(A,B,C,D,E)=[(AB+C)•D+E]•B

F(A,B,C,D,E)=AB+CD+BC+D+CE+B+E

⑶F(A,B,C)=AB+CABC

解:(1)F=[(A+B)•C+D]•E+B

F'=[(A+B)•C+D]•E+B

F=(A+B)(C+D)•(B+C)•D•(C+E)•

B•E

F,=(A+B)(C+5)・(B+C)•5•(C+E)*B*E

(3)F=(A+B)•C+A+B+C

F'=(A+B)•C+A+B+C

资料仅供参考

2.5用公式证明下列等式:

(1)AC+AB+BC+ACD=A+BC

(2)AB+AC+(B+C)D=AB+AC+D

(3)BCD+BCD+ACD+ABCD+ABC

D+BCD+BCD=BC+BC+BD

(4)ABC+BC+BCD+ABD=A+B

+C+D

证明:略

2.6已知ab+ab=a㊉b,ab+ab二aOb,证明:

(1)a©b0c=a©b©c

(2)a㊉a®b®c

证明:略

2.7试证明:

(1)若ab+ab=0贝!)ax+by=ax+by

(2)若ab+ab=c,贝!Jac+ac=b

证明:略

2.8将下列函数展开成最小项之和:

(1)F(ABC)=A+BC

(2)F(ABeD)=(B+C)D+(A+B)C

资料仅供参考

(3)F(ABC)=A+B+C+A+B+C

解:(1)F(ABC)=L43A5,6)

(2)F(ABCD)=S413,5,6,7,9,13,14,15)

(3)F(ABC)=L40,2,6)

2.9将题2.8中各题写成最大项表示式,并将结

果与2.8题结果进行比较。

解:(1)F(ABC)=nM(0,l,2)

(2)F(ABCD)=IIM(2,4,8,10,11,12)

(3)F(ABC)=n〃(l,3,4,5,7)

2.io试写出下列各函数表示式F的百和F的最

小项表示式。

(1)F=ABCD+ACD+BCD

(2)F=AB+AB+BC

解:(1)F=Lm(0,1,2,3,5,6,7,8,9,10,13,14)

F=£m(l,2,5,6,7,8,9,10,12,13,14,15)

(2)F=Lm(0,l,2,3,12,13)

F,=£m(2,3,12,13,14,15)

2.1!试用公式法把下列各表示式化简为最简与

或式

资料仅供参考

(1)F=A+ABC+ABC+BC+B

解:F=A+B

(2)F=(A+B)(A+B+C)(A+C)(B+C+D)

解:F=AB+AC

⑶F=AB+AB<BC+BC

解:F=AB+BC+AC

或:F=AB+AC+BC

(4)F=ACD+BC+BD+AB+AC+BC

解:F=AB

(5)F=AC+BC+B(AC+AC)

解:F=AC+BC

2.12用卡诺图把下列函数化简为最简与或式

(1)F(A,B,C)=En(0,l,2,4,5,7)

解:F=B+AC+AC

图略

(2)F(A,B,C,D)=EW0,2,5,6,7,9,10,14,15)

解:F=ABCD+ABD+ABD+BC+CD

图略

(3)F(A,B,C,D)=Em(0,l,4,7,9,10,13)+E0

(2,5,8,12,15)

资料仅供参考

解:F=C+BD+BD

图略

(4)F(A,B,C,D)=E»(7,13,15)且A豆C=0,

ABC=0,ABC=O

解:F(A,B,C,D)=BD

图略

(5)

F(A,B,C,D)=ABC+ABC+ABCD+ABC

D且ABCD不可同时为1或同时为0

解:F(A,B,C,D)=BD+AC

图略

(6)F(A,B,C,D)=CM(5,7,13,15)

解:F=B+D

图略

(7)F(A,B,C,D)=CM(1,3,9,10,14,15)

解:F=AD+AB+CD+BC+ABCD

图略

(8)

F(A,B,C,D出)=£/0,4,5,6,7,8,11,13,15,16,20,21,

22,23,24,25,27,29,31)

解:F=CDE+BC+CE+BDE+ABE

图略

资料仅供参考

2.13用卡诺图将下列函数化为最简或与式

(1)F(A,B,C)=£〃(0,l,2,4,5,7)

解:F=(A+B+C)(A+B+C)

图略

(2)F(A,B,C)=riM(5,7,13,15)

解:F=(B+D)

图略

2.14已知:Fi(A,B,C)=Z/n(l,2,3,5,7)+E0(0,6),

F2(A,B,C)=Z/n(0,3,4,6)(2,5),求F=FI©F2

的最简与或式

解:F=A+B

4.1分析图4.1电路的逻辑功能

解:(1)推导输出表示式(略)

(2)列真值表(略)

(3)逻辑功能:当M=0时,实现3位自然

二进制码转换成3位循环码。

当M=1时,实现3位循环码

转换成3位自然二进制码。

4.2分析图P4.2电路的逻辑功能。

资料仅供参考

解:(1)从输入端开始,逐级推导出函数表示

式。(略)

(2)列真值表。(略)

(3)确定逻辑功能。假设变量A、B、C和函

数用、F2均表示一位二进制数,那么,由真值

表可知,该电路实现了一位全减器的功能。

A、B、C、Fi、F2分别表示被减数、减数、来自

低位的借位、本位差、本位向高位的借位。

A---------被减数

B---------减数

F2C<---------借位

F.---------差

4.3分析图4.3电路的逻辑功能

解:实现1位全加器。

4.4设ABCD是一个8421BCD码,试用最少与非

门设计一个能判断该8421BCD码是否大于等于

5的电路,该数大于等于5,F=1;否则为0。

解:逻辑电路如下图所示:

资料仅供参考

B--'、

—&0&

4.5试设计一个2位二进制数乘法器电路。

解:为了使电路尽量简单,希望门数越少越好,

本电路是四输出函数,圈卡诺圈时要尽量选择共

有的卡诺圈以减少逻辑门的数量。电路图略。

4.6试设计一个将8421BCD码转换成余3码的电

路。

解:电路图略。

4.7在双轨输入条件下用最少与非门设计下列组

合电路:

解:略

4.8在双轨输入信号下,用最少或非门设计题4.7

的组合电路。

解:将表示式化简为最简或与式:

资料仅供参考

(1)F=(A+C)(A+B+C)=A+C+A+B+C

(2)F=(C+D)(B+D)(A+B+C)=

C+D+B+D+A+B+C

(3)F=(A+C)(A+B+D)(A+B+D)=

A+C+A+B+D+A+B+D

(4)F=(A+B+C)(A+B+C)=

A+B+C+A+B+C

4.9已知输入波形A、B、C、D,如图P4.4所示。

采用与非门设计产生输出波形如F的组合电

路。

解:F=AC+BC+CD电路图略

4.10电话室对3种电话编码控制,按紧急次序排列

优先权高低是:火;电话、急救电话、普通电话,

分别编码为11,10,01o试设计该编码电路。

解:略

资料仅供参考

4.11试将2/4译码器扩展成4/16译码器

解:不

YoYiY2Y3Y4Y5Y6Y7

Y8Y9YIOY11Y12Y13Y14Y15

4.i2试用74138设计一个多输出组合网络,它的

输入是4位二进制码ABCD,输出为:

F1:ABCD是4的倍数。

资料仅供参考

F2:ABCD比2大。

F3:ABCD在8〜11之间。

F4:ABCD不等于0。

解:电路如下图所示:

-.

YO

DAo-

Y—

AV

C-2

Y

BA2-3

74138Y

-40―

ElY

I-------50—

--------0E2AY6

A-0—&

0―0E2BY70—

0—F]

-

AYO

0-o-

Y1

A1-o-

2Y2

A-o-

Y3o-

8-&

EY4

-—-

Y5O—F3

E27A4-

0—0-Y6

E2B-

0—oY7

4.13试将八选一MUX扩展为六十四选一

MUXo

解:方法一:

资料仅供参考

资料仅供参考

方法一电路图

资料仅供参考

方法二:

EN

A?

A1

Ao

Do-

D,74151(1)YV

D2

D3

D4

D5

资料仅供参考

方法二电路图

资料仅供参考

4.14试用74151实现下列函数:

(l)F(AB,C,D)=^w(l,2,4,7)o

解:(1)电路图如下所示:

--------cEN

C-------------Ao

B----------------Ai

A----------------A2

D-f----D---o--------

D,74151Y

D2

D3

D4

D5

D6

D7

(2)F(A,B,C)=AB+AB+C

解:

(3)F(A,B,C,D)=ABC+BCD+ACD

资料仅供参考

解产

EN

A

A

B2

CA,

0Ao

Do_

0F

DDi74151Y

0U2

0

D3

1

D

D4

Ds

D

De

⑷产(A3CD)=Zm(0,3,12,13,14)+20(7,8%

解:

令A=A2、B=A1、C=Ao贝!I:Do=D7=D,Di=

D,D6=1,D2=D3=D4=Ds=Oo

相应的电路图如下图所示:

_______rEN

A0

A1

A2

D0

n1174151Y

*

D3

D4

*

D5

1

1D6

DD7

(5)F(A,S,C,D,E)=ABCD+ABCE+BCDE

解:电路图略。

资料仅供参考

4.15用於74153实现下列函数:

⑴F(A氏C,D)=2>瑁,2,4,7,15卜

解:电路图如下:

CD

(2)F(A,B,C)=2“(1,2,4,7)

AEN

BA

c

-弋-74153

cv

-Do2Y

c

cDi

D2

资料仅供参考

416试在图4.2.31的基础上增加一片7485,构

成25位数据比较器。

Z解A!4A・23A22A21B24B23B22B21AisAisA17Al6BigB1B7B16A14A13A12A11B14B13B12B1IAgAaA7A6BgBsBrBe

IlliIlliIlli

A3A2A1A0BBBiBo

(A〉B),

(A=B)i7485

(A<B),

A3A2A1AoB3B2B1B0A3A2A1A0B3B2B1B0

zA>BX

(A>B)i\(ZJ

/AB\7485

(A=B),7485X(=7

zA<B\

(A<B),\(7

-

资料仅供参考

4.17设A=A3A2AIAO,B=B3B2BIBO均为8421BCD

码。试用74283设计一个A、B的求和电路。

(可用附加器件)

解:设COS3s2S1S0为A、B的二进制和,则

当CO=1或S3s2SiSo>lOOl时,须加0110修正

项进行调整,计算结果为C4C3C2C1C00

A3

A2

A.A.

AnA0

B3CI&

B2

B.BB2

B,

4.18用74283将8421BCD码转

换为余3BCD码。o

o

O

1

解:电路图如右所示:I

4.20用74283将8421BCD码转换为5421BCD码。

解:

资料仅供参考

8Ao

4A篁

2AI7485

一A吧So

B5

CA2FA>BA双4

DA82

A3S1

B一

(A>B)iC

CI74283S2D

(A二B)jFA=B

(A<B)jBoS3

0B0B|

0BIFA<B0B2CO

0B3

1B2

0B3

4.2i设A=A3A2A1An,B=B3B2B1BO是两

AB1D

00o

AAoA1D

1A17485^l1Y

A2B2D大

AA2F1o2Y

3A>BA2D3Y

oA31l一数

(A>B)iB3D

2o

(A=B)jFA=BA3D—输

(A<B)j2l

B4D

B03o

A34Dl_出

4Y

EN

个4位二进制数。试用7485和74157(四二选

-MUX)构成一个比较电路并能将其中大数输

出。试画出逻辑图。

4.22分析如下图所示的组合网络

中,当ABCD从0100向1101变化

时和ABCD从1000向1101变化

时,是否会出现冒险?试用增加

多余项和取样脉冲的方法来避免

资料仅供参考

冒险现象。

解:1.当ABCD从0100向1101变化时:电路中

存在功能冒险。

[]2,当ABCD从1000向1101变化时:电路中

不存在功能冒险。

再判断是否有逻辑冒险:AC=10时,存在0

型逻辑冒险。

3.增加多余项的方法消除逻辑冒险:

F=CD+BD+AD+AB

4.加取样脉冲法避免冒险:

C&。

D

-二&。

A

D

JL

5.1基本触发器的逻辑符号与输入波形如图

P5.1所示。试作出Q、Q的波形。

资料仅供参考

s-r-in::nmn;

nIIIIIIIIIIIIII

SD-CS------Q

IIIIIIIIIIIIII

RD-CR3-Q

QU—

IIIIIIIIIIIIII

IIIIII:I:IUII:IUIILI

图P5.1

5.2图P5.2电路y在开关S由A点拨到B

点,再由B点拨回A点过程中,A、B两点电

压波形如图中所示。试作出Q和Q端的波

形。

图P5.2

5.3分析图P5.3的逻辑功能:列出真值表,导出

特征方程并说明SD、RD的有效电平。

资料仅供参考

Qn+1

SDRD

00Qn

010

101

110

解:(1)列真值表如下下略

5.4对于图P5.4电路,试导出其特征方程并说

明对A、B的取值有无约束条件。

Qn+1

AB

001

011

10Qn

111

解:(1)列真值表如下下略

5.5试写出图P5.5触发器电路的特征方程。

CP=O时,

Qn+1=S+RQn

CP=1时,{

SR=O

资料仅供参考

5.6试写出图P5.6各触发器电路的特征方程。

P5.6

(a)特征方程:Qn+1=[l].CP|

(b)〜(h)略

5.7维阻D触发器的CP和D信号如图P5.7所

示,设触发器Q端的初态为“0”,试作Q端波

形。

资料仅供参考

I>IIi-IIIIIIII

图P5.7

图P5.8

5.8维阻D触发器构成的电路如图P5.8所示,

试作Q端波形。

解:特征方程为:,Q端

波形如图P5.8所示。

5.10画出图P5.10中Q端的波形。设初态为“0”。

cp^LTLnLTLTL

解:Q端波形如图P5.10所示。

图P5.10

5.11画出图P5.ll电路Q端的波形。设初态为

“0”。

资料仅供参考

解:Q端波形如图P5.ll晒^

cp>LLTL-rL-TL-TL-TL-rL

图P5.11

5.12

5.12画出图P5.12电路中QI、Q2的波形。

Q端波形如图P5.12所示。

5.13画出图P5.13电路中Q1和Q2的波形。

CP?

图P5.13

资料仅供参考

5.14试作出图P5.14中Q端和Z端的波形。设

Q的初态为“0”。

解:Q、Z端波形如图P5.14所示。

CP^TUnjH.FL

iIIIlliII

A

IIIIlliII

Q

Z^LTU

图P5.14

图P5.15

5.15画出图P5.15电路中Q端的波形。

解:Q端波形如图P5.15所示。

5.16试作出图P5.16电路中QA、QB的波形。

解:Q端波形如图P5.16所示。

资料仅供参考

cp

CP㊉Q2

IIIIII

Qi

IIIIII

1--------1----------------If-r-

Q2:::I:

表Dr~ru|_|

Aiin

BuinR-Uihji-juJi-

图P5.16

图P5.17

5』7试作出图P5.17电路中Ql、Q2的波形。

解:Q端波形如图P5.17所示。

5.18试作出图P5.18电路中Q1和Q2的波形(设

Q1和Q2的初态喻为靠依芳并说明Q1和

Q2对于CP2各为多少分频。

解:Q端波形如图P5.18所示。

CP_run_n_n_TL

IIIII

Q1和Q2对于CP2都是4分频,即

资料仅供参考

图P5.18

图P5.19

5.19已知电路如图P5.19,试作出Q端的波形。

设Q的初态为“0”。

解:Q端波形如图P5.19所示。

5.20已知输入%、输出11。波形分别如图P5.20

所示,试用两个D触发器将该输入波形必转换

成输出波形iio。

解:实现电路如图P5.20所示。

图P5.20

5.21试分别用公式法和列表图解法将主从SR

触发器转换成JK触发器。

解:略

6.1试分析下图所示电路。

资料仅供参考

解:1)分析电路结构:略

2)求触发器激励函数:略

3)状态转移表:略

4)逻辑功能:实现串行二进制加法运算。X1X2

为被加数和加数,Qn为低位来的进位,Qn+1

表示向高位的进位。且电路每来一个CP,实

现一次加法运算,即状态转换一次。

例如Xl=110110,X2=110100,

则运算如下表所示:LSBSMSB

节拍脉冲CPICP2CP3CP4

CPCP5CP6CP7

被加数0110

XI110

加数0010

资料仅供参考

X2110

低位进位0001

Qn011

高位进位0010

Qn+l110

本位和0101

Z011

6.2试作出101序列检测器得状态图,该同步电

路由一根输入线X,一根输出线Z,对应与输

入序列的101的最后一个“1",输出Z=lo其

余情况下输出为“0”。

(1)101序列能够重叠,例如:X:Z:

(2)101序列不能够重叠,如:X:Z:

解:1)So:起始状态,或收到101序列后重新

开始检测。

S1:收到序列起始位“1”。

S2:收到序列前2位“10”。

X/ZX/Z

资料仅供参考

2)

63对下列原始状态表进行化简:(a)

Nm7.(1}

S(t)XX

0101

AAB00

BCA01

CBD01

DDC00

解:1)列隐含表:

2)进行关联比较

3)列最小化状态表为:

N(t)/Z(t)

s(t)

x二oX=1

aa/0b/0

bb/0a/1

(b)

资料仅供参考

3)列最小化状态表:

S(t)N(t)/Z

(t)

x=oX=1

ab/0h/0

be/0a/1

ea/0h/0

he/1b/1

资料仅供参考

6.4试画出用MSI移存器74194构成8位串行

分并行码的转换电路(用3片74194或2片

74194和一个D触发器)。

解:1)用3片74194:

m

-

Q

WoQOQ1Q2Q3

Q2Q3

—QoQiQ2Q3cRMo

CRMo

>CP74194Mi>CP74194Mi

串行电人

DSRDSLDSRDSL

DoDiD2D3DODlD2D3

QoQ;Q2Q;Q;Q;Q:Q;Q;MoM|下一操作

清。00000000011准备送数

CP"D;0111111110准备右移

CP2tDo011111110准备右移

CP3tD;D;Do01111110准备右移

CP4tC>2D;Do0111110准备右移

CP5tD;D;D;D;Do011110准备右移

CP6tD;D;D;D;D;Do01110准备右移

CP7tD;UD;D;Do0110准备右移

CP8tD;D;D;D;D;D]011准备送数

2)用2片74194和一个D触发器

资料仅供参考

Q6Q;Q2QgQ,

串行输入—QoQlQ2Q3

IDCRMo

RD

X'>CP74194(1)Ml

0-

_R

0DSRDSL

DoD|D2D3

CP0

状态转移表同上。

6.5试画出74194构成8位并行分串行码的转换

电路

D,ODin2D3

状态转移表:

Qo*Qi*Q2*Q3,Q/M0

f作

Q5Q6'Q7,Q8'M1

启①①①①1准备

动①①①①1并入

资料仅供参考

CP10DO*DPD2'D3'10准备

TD4'D5'D6'D7'右移

CP210DO'DI'D2'10准备

TD3*D4fD5*D6f右移

1

CP3110DODI,10准备

tD21D3*D4,D5,右移

1

CP41110DO10准备

TDI*D2'D3fD4f右移

CP51111010准备

tDO*DI*D2,D3,右移

CP6111111准备

t0DO1DlfD210右移

CP7111111准备

t10DO'DI,0右移

准备

CP8111111

T110DO'1并入

6.6试分析题图6.6电路,画出状态转移图并说

明有无自启动性。

解:激励方程:略

状态方程:略

状态转移表:

序|Q3olj

资料仅供参考

状态转移图

该电路具有自启动性。

6.7图P6.7为同步加/减可逆二进制计数器,试

分析该电路,作出X=o和X=1时的状态转移

表。

CP

图P6.7

资料仅供参考

解:题6.7的状态转移表

XnnnnzH-1加1加1Z

团03◎0应030

0000011111

01111111

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论