数字电子技术实验指导书答案市公开课一等奖省赛课微课金奖课件_第1页
数字电子技术实验指导书答案市公开课一等奖省赛课微课金奖课件_第2页
数字电子技术实验指导书答案市公开课一等奖省赛课微课金奖课件_第3页
数字电子技术实验指导书答案市公开课一等奖省赛课微课金奖课件_第4页
数字电子技术实验指导书答案市公开课一等奖省赛课微课金奖课件_第5页
已阅读5页,还剩59页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电子技术试验试验一基本逻辑门电路试验第1页燕山大学电子试验中心一、基本逻辑门电路性能(参数)测试(一)试验目标1.掌握TTL与非门、与或非门和异或门输入与输出之间逻辑关系。2.熟悉TTL中、小规模集成电路外型、管脚和使用方法。(二)试验所用器件l.二输入四与非门74LS001片2.二输入四或非门74LS021片3.二输入四异或门74LS861片(三)试验内容1.测试二输入四与非门74LS00一个与非门输入和输出之间逻辑关系。2.测试二输入四或非门74LS02一个或非门输入和输出之间逻辑关系。3.测试二输入四异或门74LS86一个异或门输入和输出之间逻辑关系。1.将器件引脚7与试验台“地(GND)”连接,(四)试验提醒1.将器件引脚7与试验台“地(GND)”连接,将器件引脚14与试验台十5V连接。2.用试验台电平开关输出作为被测器件输入。拨动开关,则改变器件输入电平。3.将被测器件输出引脚与试验台上电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。

第2页燕山大学电子试验中心(五)试验接线图及试验结果

74LS00中包含4个二输入与非门,7402中包含4个二输入或非门,7486中包含4个二输入异或门,它们引脚分配图见附录。下面各画出测试7400第一个逻辑门逻辑关系接线图及测试结果。测试其它逻辑门时接线图与之类似。测试时各器件引脚7接地,引脚14接十5V。图中K1、K2接电平开关输出端,LED0是电平指示灯。第3页燕山大学电子试验中心

1、测试74LS00逻辑关系接线图及测试结果第4页燕山大学电子试验中心

2、测试74LS02逻辑关系接线图及测试结果第5页燕山大学电子试验中心3、测试74LS86逻辑关系接线图及测试结果第6页燕山大学电子试验中心二、TTL、HC和HCT器件电压传输特征(一)、试验目标1.掌握TTL、HCT和HC器件传输特征。2.掌握万用表使用方法。(二)、试验所用器件1.六反相器74LS04片2.六反相器74HC04片3.六反相器74HCT04片(三)、试验内容1.测试TTL器件74LS04一个非门传输特征。2.测试HC器件74HC04一个非门传输特征。3.测试HCT器件74HCT04一个非门传输特征。(四)、试验提醒1.注意被测器件引脚7和引脚14分别接地和十5V。2.将试验台上4.7KΩ电位器RTL电压输出端连接到被测非门输入端,RTL输出端电压作为被测非门输入电压。旋转电位器改变非门输入电压值。3.按步长0.2V调整非门输入电压。首先用万用表监视非门输入电压,调好输入电压后,用万用表测量非门输出电压,并统计下来。第7页燕山大学电子试验中心二、TTL、HC和HCT器件电压传输特征(五)、试验接线图及试验结果1.试验接线图

因为74LS04、74HC04和74HCT04逻辑功效相同,所以三个试验接线图是一样。下面以第一个逻辑门为例,画出试验接线图(电压表表示电压测试点)如右图第8页燕山大学电子试验中心二、TTL、HC和HCT器件电压传输特征输入Vi(V)输出Vo74LS0474HC0474HCT040.00.2…

1.21.4…4.85.02.输出无负载时74LS04、74HC04、74HCT04电压传输特征测试数据第9页燕山大学电子试验中心二、TTL、HC和HCT器件电压传输特征3.输出无负载时74LS04、74HC04和74HCT04电压传输特征曲线。

第10页燕山大学电子试验中心4.比较三条电压传输特征曲线特点。

尽管只对三个芯片在输出无负载情况下进行了电压传输特征测试,不过从图2.2、图2.3和图2.4所表示三条电压传输特征曲线仍能够得出以下观点:

(1)74LS芯片最大输入低电平VIL低于74HC芯片最大输入低电平VIL,74LS芯片最小输入高电平VIH低于74HC芯片最小输出高电平VIH。(2)74LS芯片最大输入低电平VIL、最小输入高电平VIH与74HCT芯片最大输入低电平VIL、最小输出高电平VIH相同。(3)74LS芯片最大输出低电平VOL高于74HC芯片和74HCT芯片最大输出低电平VOL。74LS芯片最小输出高电平VOH低于74HC芯片和74HCT芯片最小输出高电平VOH。(4)74HC芯片最大输出低电平VOL、最小输出高电平VOH与74HCT芯片最大输出低电平VOL、最小输出高电平VOH相同。二、TTL、HC和HCT器件电压传输特征第11页燕山大学电子试验中心5.在不考虑输出负载能力情况下,从上述观点能够得出下面推论(1)74HCT芯片和74HC芯片输出能够作为74LS芯片输入使用。(2)74LS芯片输出能够作为74HCT芯片输入使用。实际上,在考虑输出负载能力情况下,上述推论也是正确。应该指出,即使在教科书中和各种器件资料中,74LS芯片输出作为74HC芯片输入使用时,推荐方法是在74LS芯片输出和十5V电源之间接一个几千欧上拉电阻,不过因为对74LS芯片而言,一个74HC输入只是一个很小负载,74LS芯片输出高电平普通在3.5V~4.5V之间,所以在大多数应用中,74LS芯片输出也能够直接作为74HC芯片输入。二、TTL、HC和HCT器件电压传输特征第12页燕山大学电子试验中心三、逻辑门控制电路1.用与非门和异或门安装如图所表示电路。检验它真值表,说明其功效。第13页燕山大学电子试验中心三、逻辑门控制电路2、用3个三输入端与非门IC芯片74LS10安装如图所表示电路从试验台上时钟脉冲输出端口选择两个不一样频率(约7khz和14khz)脉冲信号分别加到X0和X1端。对应B和S端数字信号全部可能组合,观察并画出输出端波形,并由此得出S和B(及/B)功效。第14页燕山大学电子试验中心试验二组合逻辑电路部件试验试验目标:掌握逻辑电路设计基本方法掌握EDA工具MAX-PlusII原理图输入方法掌握MAX-PlusII逻辑电路编译、波形仿真方法第15页燕山大学电子试验中心组合逻辑电路部件试验试验内容

利用EDA工具MAX-PlusII原理图输入法,分别输入74138、7483图元符号;建立74138、7483仿真波形文件,并进行波形仿真,统计波形;分析74138、7483逻辑关系。

1).3-8译码器74138波形仿真

2).4位二进制加法器7483波形仿真4位二进制加法器集成电路74LS83中,A和B是两个4位二进制数输入端,Cout,S3,S2,S1,S0是5位输出端。Cin是进位输入端,而Cout是进位输出端。(一)逻辑单元电路波形仿真第16页燕山大学电子试验中心(二)简单逻辑电路设计

依据题目要求,利用EDA工具MAX-PlusII原理图输入法,输入设计电路图;建立对应仿真波形文件,并进行波形仿真,统计波形和输入与输出时延差;分析设计电路正确性。

组合逻辑电路部件试验试验内容第17页燕山大学电子试验中心1.设计一个2-4译码器E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出,Φ为任意状态。输入输出EA1A2Q0Q1Q2Q31ΦΦ111100001110110111011011111102-4译码器功效表以下第18页燕山大学电子试验中心2.设计并实现一个4位二进制全加器(1)二进制全加器原理

一个n位二进制加法运算数字电路是由一个半加器和(n-1)个全加器组成。它把两个n位二进制数作为输入信号。产生一个(n+1)位二进制数作它和。如图所表示。第19页燕山大学电子试验中心用全加器组成n位二进制加法器

图中A和B是用来相加两n位输入信号,Cn-1,Sn-1,Sn-2,······S2,S1,S0是它们和。在该电路中对A0和B0相加是用一个半加器,对其它位都用全加器。假如需要串接这些电路以增加相加位数,那么它第一级也必须是一个全加器。第20页燕山大学电子试验中心(2)设计步骤①设计1位二进制全加器,逻辑表示式以下:

Sn=An⊕Bn⊕Cn-1Cn=An·Bn+Cn-1(An⊕Bn)An是被加数,Bn是加数,Sn是和数,Cn是向高位进位,Cn-1是低位进位。②利用1位二进制全加器组成一个4位二进制全加器第21页燕山大学电子试验中心3.交叉口通行灯逻辑问题实现

图表示一条主干公路(东一面)与一条二级道路交叉点。车辆探测器沿着A、B、C和D线放置。当没有发觉车辆时,这些敏感组件输出为低电平‘0”。当发觉有车辆时,输出为高电平“1”。交叉口通行灯依据以下逻辑关系控制:第22页燕山大学电子试验中心交叉口通行灯逻辑问题实现(a)东一西灯任何时候都是绿条件(1)C和D线均被占用;(2)没有发觉车辆;(3)当A、B线没同占用时,C或D任一条线被占用;(b)南一北灯任问时候都是绿条件(1)A和B线均被占用,而C和D线均未占用或只占用一条线;(2)当C和D均未被占用时,A或B任一条线被占用。第23页燕山大学电子试验中心交叉口通行灯逻辑问题实现

电路应有两个输出端,南北(SN)和东西(EW),输出高电平对应绿灯亮,输出低电平对应红灯亮。用敏感组件输出作为逻辑电路输入信号,对所给逻辑状态建立一个真值表,化简后得最简逻辑表示式,用与非门实现该电路、并用波形仿真设计电路功效,分析其正确性之。第24页燕山大学电子试验中心4.设计一个7位奇/偶校验器

奇/偶校验代码是在计算机中惯用一个可靠性代码。它由信息码和一位附加位——奇/偶校验位组成。这位校验位取值(0或1)将使整个代码串中1个数为奇数(奇校验代码)或为偶数(偶校验代码)。第25页燕山大学电子试验中心(1)奇/偶校验位发生器(A)奇/偶校验位发生器就是依据输入信息码产生对应校验位。如图是4位信息码奇校验位发生器电路。可推知:当B3B4B2B1中1个数为偶数时此奇校验位发生器输出校验位P为1,反之为0。

代码分别为a0、a1、a2、a3、a4、a5、a6;奇校验位为P,偶校验位为E。逻辑表示式以下:

/P=a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6E=P。(B)设计一个7位二进制奇/偶校验位发生器第26页燕山大学电子试验中心(2)奇/偶校验代码校验器(A)奇/偶校验器用于检验奇(偶)校验代码在传送和存放中有否出现差错,它含有发觉全部奇数个位数错能力。

(B)设计一个8位二进制奇校验器代码分别为a0、a1、a2、a3、a4、a5、a6、/p奇校验器。逻辑表示式以下:

S=a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6⊕P

显然,当校验器输入代码a0a1a2a3a4a5a6/p中1个数为奇数时,校验器输出S为1、反之S为0。第27页燕山大学电子试验中心5.设计一个四选一(数据选择器)电路

数据选择器又称输入多路选择器、多路开关。它功效是在选择信号控制下,从若干路输入数据中选择某一路输入数据作为输出。第28页燕山大学电子试验中心E是选通使能端,A1、A0分别是选择信号端,D0、D1、D2、D3分别是四路数据,F是输出端。选通选择信号四路数据输出EA1A0DF1ΦΦΦ0000D0~D3D0001D0~D3D1010D0~D3D2011D0~D3D3一个四选一数据选择器功效表第29页燕山大学电子试验中心6.设计一个1:4数据分配器

数据分配器功效是在选通(G)和选择信号(Cn)线控制下将一路输入数据(D)分别分配给对应输出端(Yn)。

第30页燕山大学电子试验中心G是选通使能端,S1、S0分别是选择端,D是一路输入数据,Y0、Y1、Y2、Y3分别是选择输出。输入输出GS1S0DY0Y1Y2Y31ΦΦΦ1111000DD111001D1D11010D11D1011D111D1:4数据分配器功效表第31页燕山大学电子试验中心7.设计并实现2位二进制数字比较器功效描述:比较A1A0和B1B0两个2位二进制数:En使能端,En=1有效。当A1A0=B1B0时,电路输出端E=1,其它情况时E=0;当A1A0>B1B0时,电路输出端L=1,其它情况时L=0;当A1A0<B1B0时,电路输出端S=1,其它情况时S=0;对设计电路进行波形仿真,统计结果。第32页燕山大学电子试验中心试验三时序电路设计第33页燕山大学电子试验中心(一)触发器试验试验目标1.掌握RS触发器、D触发器、JK触发器工作原理。2.学会正确使用RS触发器、D触发器、JK触发器。第34页燕山大学电子试验中心试验内容1.用74LS00组成一个RS触发器。给出R、S波形序列,进行波形仿真,说明RS触发器功效。

2.D触发器DFF(或双D触发器74LS74中一个D触发器)功效测试。

D触发器输入端口CLR是复位或清零,PRN是(置位);给定D(数据)、CLK(时钟)波形序列,进行波形仿真,统计输入与输出Q波形。说明D触发器是电平触发还是上升沿触发,分析原因。

3.JK触发器JKFF(或双JK触发器74LS73、74LS76中一个JK触发器)功效测试与分析。

JK触发器输入端口CLR是复位端,PRN是置位端,CLKS是时钟。给出CK,J,K波形,仿真JK触发器功效,说明JK触发器CLK何时有效。

D触发器74LS74是上升沿触发,JK触发器74LS73是下降沿触发第35页燕山大学电子试验中心(二)简单时序电路设计试验试验目标学习利用EDA工具设计简单时序电路。掌握简单时序电路分析、设计、波形仿真、器件编程及测试方法第36页燕山大学电子试验中心试验内容1.用D触发器DFF(或74LS74)组成4位二进制计数器(分频器)

(1)输入所设计4位二进制计数器电路并编译。

(2)建立波形文件,对所设计电路进行波形仿真。并统计Q0、Q1、Q2、Q3状态。

(3)对所设计电路进行器件编程。将CLK引脚连接到试验系统单脉冲输出插孔,4位二进制计数器输出端Q0、Q1、Q2、Q3连接到LED显示灯,CLR、PRN端分别连接到试验系统两个开关输出插孔。

(4)由时钟CLK输入单脉冲,统计输入脉冲数,同时观察Q0、Q1、Q2、Q3对应LED显示灯改变情况。第37页燕山大学电子试验中心2.异步计数器

异步计数器是指输入时钟信号只作用于计数单元中最低位触发器,各触发器之间相互串行,由低一位触发器输出逐一向高一位触发器传递,进位信号而使得触发器逐层翻转,所以前级状态改变是下级改变条件,只有低位触发器翻转后才能产生进位信号使高位触发器翻转。第38页燕山大学电子试验中心1)计数器单元电路仿真a)用74LS93组成一个2位十六进制计数器,并进行波形仿真,74LS93图示以下。

第39页燕山大学电子试验中心b)用74LS90组成一个2位BCD码计数器,并进行波形仿真。74LS90图示以下第40页燕山大学电子试验中心2)设计异步十进制计数器a)用JK触发器JKFF(或双JK触发器74LS73、7476)组成1位十进制计数器(或BCD计数器)

第41页燕山大学电子试验中心JK触发器b)对所设计计数器,建立对应波形文件,进行波形仿真。并统计计数值Q0、Q1、Q2、Q3状态。c)对设计计数器进行器件编程、连线,由时钟端CLK输入单脉冲,测试并统计Q0、Q1、Q2、Q3状态改变,验证设计电路正确性。第42页燕山大学电子试验中心3.移位存放器移位存放器一个能存放二进制代码,并能在时钟控制下对代码进行右移或左移同时时序电路。计算机执行四则运算和逻辑移位等指令少不了移位存放器,另外,移位存放器还可用于计算机串行传输口串并行信息转换电路。第43页燕山大学电子试验中心1)集成移位存放器波形仿真74LS95是4位并/串输入,并行输出,双向移位移位存放器。第44页燕山大学电子试验中心移位存放器用JK触发器设计一个4位串行输入,并行输出右移存放器。针对所设计电路建立对应波形仿真文件,进行波形仿真,器件编程,验证所设计电路正确性。用JK触发器设计4位并行输入,串行输出右移存放器。对所设计4位右移存放器建立对应波形仿真文件,进行波形仿真。第45页燕山大学电子试验中心4.自循环存放器(1)用D触发器DFF(或74LS74)组成一个四位自循环存放器。方法是第一级Q端接第二级D端,依次类推,最终第四级Q端接第一级D端。四个D触发器CLK端连接在一起,然后接单脉冲时钟。(2)对设计电路建立对应波形仿真文件,进行波形仿真。将触发器Q0置1(即PRN0输入一个负脉冲),Q1、Q2、Q3清0(即CLR1、CLR2、CLR3输入一个负脉冲)。(3)进行器件编程(定义自循环存放器输入/输出引脚号)。(4)连线验证所设计电路正确性预置初始状态(与波形仿真相同),自循环存放器PRNi和CLRi端连接到开关电平输出插空,输入端CLK引脚连接到试验系统单脉冲输出插孔,输出端Q0、Q1、Q2、Q3连接到LED显示灯。由时钟CLK输入端输入单脉冲,观察并统计Q0、Q1、Q2、Q3状态改变。第46页燕山大学电子试验中心5.同时计数器

所谓同时计数器是指计数器中各触发器统一使用同一输入输入时钟脉冲(计数脉冲)信号,在同一时刻全部触发器同时翻转并产生进位信号。第47页燕山大学电子试验中心(1)用74LS191组成一个2位十六进制计数器,并进行波形仿真。第48页燕山大学电子试验中心(2)用74LS160组成一个2位BCD码计数器,并进行波形仿真。第49页燕山大学电子试验中心试验四基于VHDL基本逻辑电路设计试验目标:学会使用VHDL语言设计数字单元电路方法。掌握用VHDL语言设计数字单元电路调试,波形仿真方法。第50页燕山大学电子试验中心(一)基于VHDL组合逻辑电路设计

用VHDL语言编写实现以下器件功效程序并进行编译、波形仿真。1.二输入与非门2.三态门电路与总线缓冲器3.BCD-7段LED译码器4.设计一个1:4数据分配器(功效说明见试验二.(二).6)

5.设计一个四位全加器(功效说明见试验二.(二).2)

6.设计一个7位奇偶校验电路(功效说明见试验二.(二).4)

7.数字比较器,设计4位二进制数字比较器

第51页燕山大学电子试验中心(二)基于VHDL时序电路设计

用VHDL语言编写实现以下器件功效程序并进行编译、波形仿真与器件编程,并测试其功效。(1)触发器和锁存器:设计一个D触发器(2)计数器,设计一位十进制计数器(BCD码计数器)注:VHDL程序范例见附件1“六进制计数器”

第52页燕山大学电子试验中心(二)时序电路设计(3)4位移位存放器设计

a.4位右移存放器功效要求,四位数据并行一次输入,串行右移依次输出,高位填充“0”。

b.4位左移存放器2功效要求,四位数据串行左移依次输入,并行一次输出。第53页燕山大学电子试验中心VHDL语言设计范例第54页燕山大学电子试验中心试验五数字系统设计综合试验(一)设计一个十进制脉冲计数装置1.电路元器件:第55页燕山大学电子试验中心(一)设计一个十进制脉冲计数装置2.试验步骤(1)自行设计BCD-7

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论