EDA 智能函数发生器k_第1页
EDA 智能函数发生器k_第2页
EDA 智能函数发生器k_第3页
EDA 智能函数发生器k_第4页
EDA 智能函数发生器k_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、电子设计自动化课程设计报告智能函数发生器内容摘要应用EDA技术完成电子产品的设计,将电子生产或检测中常用的波形发生器集成到FPGA芯片中。在Max plusII软件开发平台上,系统将自动完成逻辑编译、综合、仿真、目标芯片适配编译、下载等。通过输入由硬件描述语言VHDL完成的原理图或设计文件。设计的工作是通过编程来描述系统的功能。在EDA工具的帮助下,应用相应的可编程器件来实现最终的设计结果。常用的波形发生器小型化,设计简化,使用简化。关键词:六种可编程门阵列EDA波形1.设计功能需要设计一个函数发生器,可以产生渐增斜波、渐减斜波、方波、三角波、正弦波和阶梯波,并可以通过选择开关选择输出相应的波

2、形。它具有复位功能。按键确定输出波形以及是否输出波形。FPGA是整个系统的核心,它构成了系统控制器、波形数据发生器、加法器、运算/解码等功能。根据上述分析和设计要求,确定函数发生器可由增斜波产生模块、减斜波产生模块、三角波产生模块、阶梯波产生模块、正弦波产生模块、方波产生模块和输出波形选择模块以及按键复位控制和时钟输入组成。由此,可以确定该系统的总体原理框图是波形生成模块时钟clk重置重置波形输出选择模块2.模块分析根据设计要求,智能函数发生器主要由两类电路模块组成,即函数发生电路和函数选择电路。函数产生电路包括产生六种不同函数波形的模块,即增加斜波、减少斜波、方波、三角波、正弦波和阶梯行波。

3、智能函数发生器的系统框图如图(1)所示。其中CLK比特输入时钟脉冲,并且时钟的上升沿有效;CLR是复位信号。当高电平有效时,系统返回初始状态。SEL 2.0为输出选择信号,该信号的不同值对应增加斜波、减少斜波、方波、三角波、正弦波和阶梯行波的六种不同输出;Q 7.0是输出信号,并且根据输出功能选择信号SEL2的值输出相应的波形.0。一、电路中需要产生六种不同的波形。因此,应为每个波形功能设计相应的电路模块。各模块的输入输出设置相同,但不同功能生成模块对输入信号的处理方式不同。仅以增量斜坡波函数为例。该模块的框图如图(2)所示。其中CLK是时钟输入信号,CLR是复位清零信号,Q7.0是输出波形函

4、数。其次,选择电路的本质是一个简单的解码器模块。该框图如图2所示。其中销售2.0是输出选择信号。根据该信号的值,电路将在输入信号d07中选择一组数据.0到D5 7.0并在输出端q7将其作为输出信号输出.0。完整的电路原理图:(1)增斜波函数生成模块框图增斜波函数生成模块的VHDL描述;图书馆。使用电气和电子工程师协会。标准逻辑1164。全部;使用电气和电子工程师协会。标准逻辑无符号。全部;实体增加信息系统港口(CLK,标准逻辑;Q:输出标准逻辑向量(7下0);最终增长;增长的rtl建筑开始过程(CLK,CLR)变量TMP:标准逻辑向量(7向下0);开始如果CLR=1,则TMP:=埃尔西弗克莱门

5、特和CLK=1如果TMP=“”则TMP:=其他TMP :=TMP 1;结束中频;结束中频;Q=TMP结束流程;结束RTL;增斜波函数生成模块仿真图(2)递减斜波函数生成模块框图:下降斜波函数生成模块的VHDL描述;图书馆。使用电气和电子工程师协会。标准逻辑1164 .全部;使用电气和电子工程师协会。标准逻辑无符号。全部;实体减少港口(CLK,标准逻辑;Q:输出标准逻辑向量(7下0);结束减少;建筑rtl的衰落开始过程(CLK,CLR)变量TMP:标准逻辑向量(7向下0);开始如果CLR=1,则TMP:=埃尔西弗克莱门特和CLK=1如果TMP= 则TMP:=其他TMP :=TMP-1;结束中频;

6、结束中频;Q=TMP结束流程;结束RTL;减少斜波函数发生模块仿真图(3)三角洲三角波函数发生模块框图:三角洲三角波函数发生模块仿极高密度脂蛋白语言描述:图书馆。使用电气和电子工程师协会。标准逻辑1164 .全部;使用电气和电子工程师协会。标准逻辑无符号。全部;实体增量信息系统港口(CLK,标准逻辑;Q:输出标准逻辑向量(7下0);结束三角洲。三角洲的rtl建筑开始过程(CLK,CLR)变量TMP:标准逻辑向量(7向下0);可变标签:标准逻辑;开始如果CLR=1,则TMP:=埃尔西弗克莱门特和CLK=1如果标签=0,则如果TMP= 则TMP:=标签:=1;其他TMP :=TMP 1;结束中频;

7、其他如果TMP= 则TMP:=标签:=0;其他TMP :=TMP-1;结束中频;结束中频;结束中频;Q=TMP结束流程;结束RTL;三角洲三角波函数发生模块仿真图(4)、梯子梯形波函数发生模块框图:阶梯梯形波函数发生模块极高密度脂蛋白语言描述:图书馆。使用电气和电子工程师协会。标准逻辑1164 .全部;使用电气和电子工程师协会。标准逻辑无符号。全部;实体阶梯是港口(CLK,标准逻辑;Q:输出标准逻辑向量(7下0);结束梯子;梯子的rtl开始过程(CLK,CLR)变量TMP:标准逻辑向量(7向下0);可变标签:标准逻辑;开始如果CLR=1,则TMP:=埃尔西弗克莱门特和CLK=1如果标签=0,则

8、如果TMP= 则TMP:=标签:=1;其他TMP:=TMP 16标签:=1;结束中频;其他标签:=0;结束中频;阶梯梯形波函数发生模块仿真图(5)、罪正弦波函数发生模块框图:犯罪正弦波函数发生模块极高密度脂蛋白语言描述:图书馆。使用电气和电子工程师协会。标准逻辑1164 .全部;使用电气和电子工程师协会。标准逻辑无符号。全部;使用电气和电子工程师协会。标准逻辑算术。全部;实体罪是港口(CLK,标准逻辑;Q:输出标准逻辑向量(7下0);结束罪恶;罪恶的rtl开始过程(CLK,CLR)可变TMP:整数范围0至63;开始如果CLR=1,则Q= .埃尔西弗克莱门特和CLK=1如果TMP=63,则tmp

9、 :=0;其他TMP :=TMP 1;结束中频;案例TMP信息系统当0=Q=conv _标准_逻辑_向量(255,8)时;当1=Q=conv _标准_逻辑_向量(254,8)时;当2=Q=conv _标准_逻辑_向量(252,8)时;当3=Q=conv _标准_逻辑_向量(249,8)时;当4=Q=conv _标准_逻辑_向量(245,8)时;当5=Q=conv _标准_逻辑_向量(239,8)时;当6=Q=conv _标准_逻辑_向量(233,8)时;当7=Q=conv _标准_逻辑_向量(225,8)时;当8=Q=conv _标准_逻辑_向量(217,8)时;当9=Q=conv _标准_逻

10、辑_向量(207,8)时;当10=Q=conv _标准_逻辑_向量(197,8)时;当11=Q=conv _标准_逻辑_向量(186,8)时;当12=Q=conv _标准_逻辑_向量(174,8)时;当13=Q=conv _标准_逻辑_向量(162,8)时;当14=Q=conv _标准_逻辑_向量(150,8)时;当15=Q=conv _标准_逻辑_向量(137,8)时;当16=Q=conv _标准_逻辑_向量(124,8)时;当17=Q=conv _标准_逻辑_向量(112,8)时;当18=Q=conv _标准_逻辑_向量(99,8)时;当19=Q=conv _标准_逻辑_向量(87,8)时;当20=Q=conv _标准_逻辑_向量(75,8)时;当21=Q=conv _标准_逻辑_向量(64,8)时;当22=Q=conv _标准_逻辑_向

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论