程控交换课程设计---“tsst”时分数字交换网设计.doc_第1页
程控交换课程设计---“tsst”时分数字交换网设计.doc_第2页
程控交换课程设计---“tsst”时分数字交换网设计.doc_第3页
程控交换课程设计---“tsst”时分数字交换网设计.doc_第4页
程控交换课程设计---“tsst”时分数字交换网设计.doc_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

现代交换原理课程设计题 目 “TSST”时分数字交换网设计 学 院 电子信息工程学院 专 业 通信工程 学生姓名 学 号 年级 2009级 指导教师 职称 副教授 二一二年六月设计报告成绩 (按照优、良、中、及格、不及格评定)指导教师评语:指导教师(签名) 年 月 日说明:指导教师评分后,设计报告交院实验室保存。The general staff (1 employees in addition to vice president, director, manager, deputy manager and special positions outside the contract period) to resign, to give 10 days notice, the project manager or department manager, administrative personnel department or relevant responsible person for the relevant visa after departure procedures; in addition to general staff personnel outside the contract period of turnover must submit the resignation report, a month ahead of schedule, the administrative personnel department, general manager of visa before separation procedures; probation employees shall pay in advance 5 resignation report, the project manager or department manager and administrative personnel department visa before departure; positive after special reasons did not sign a contract with reference to general employees Through the staff成都学院(成都大学)课程设计报告“TSST”时分数字交换网设计摘要:程控数字交换是控制系统依靠事先存储的程序和数据引导微分处理机对各种信令进行处理,对交换网络和接口进行必要的控制。单一的S接线器不能单独构成数字交换网络,而T接线器可以单独构成,但是T接线器容量受到限制,因此本设计采用四级接线器,按照一定的拓扑结构形成无阻塞型数字交换网。采用接线线器构成的数字交换网络是时代发展的需要,利用时间接线器和空间接线器的不同组合以得到一定容量要求,在交换器件允许的情况下尽量提高PCM的复用度。关键词:TSST;S接线器;T接线器;数字交换网目 录第1章 绪论11.1 设计背景11.2 设计参数及内容1第2章 时分数字交换网3第3章 数字交换的基本概念及原理43.1 数字交换网的基本概念43.2 时间(T)接线器43.2.1 T接线器的基本组成43.2.2 T接线器的工作方式和工作原理53.3 空间(S)接线器63.3.1 S接线器的基本组成63.3.2 S接线器的两种控制方式和控制原理8第四章 TSST时分数字交换网104.1 串/并变换和并/串变换104.2 TSST接续网114.3 TSST网络工作原理12第五章 网络阻塞分析15总结16参考文献1717第1章 绪论1.1 设计背景随着数字交换网络技术的不断发展,数字交换网络是程控交换系统中一种规模可缩放的大容量数字交换部件,目前在交换局中运行的程控数字交换系统,其数字交换网络主要采用复制式T型时分交换。在实现上通常采用专用通信芯片。现今数字网络已经在通信应用中起着至关重要的作用。从整体上看,大大简化了网络容量的局限性,实现大容量。其业务能力增强,且具有强大的网络智能化管理。现在的数字交换网络也增加了很多个性业务,相信数字交换机将是现在数字通信社会不可取代的只能设备。数字交换机的诞生不但使电话交换跨上了一个新的台阶,而且对开通非电话业务提供了有利条件。在数字交换机上既能进行电路交换,又能进行分组交换,而且能实现话音和非话业务等多种业务通信,组成综合业务数字网(ISDN)1。通讯技术的飞速发展使得目前高速通讯网络性能的瓶颈集中在高速交换系统,研究、设计和制造高速交换系统对目前高速通讯网络具有极其重要的意义。 交换算法的研究与实现虽然是研究多年的老课题,但由于现在的交换机在不停的更新换代,所以对新的交换算法的需求也在不断增加,使我们更应对这些基础的东西增加更多的注意力。而且随着电信网和计算机网络的高速发展,高速大容量的交叉连接或交换设备和芯片的性能也在大幅度的提高。程控数字交换技术、计算机技术和大规模集成电路产物,是数字电话网、移动通信网和综合业务数字网的关键设备,在电信网中起着非常重要的作用。以数字交换和数字传输为基础的数字电话网已能向用户提供良好的话音及相关新业务。近20年以来,程控数字电话网在我国得到了飞速的发展。程控交换技术在交换领域也出现了新情况,即“新技术层出不穷,多种新技术同时发展,技术可选择性不易确定及各个学科技术相互交叉”2。1.2 设计参数及内容本课题介绍的是“TSST”时分数字交换网的原理及设计。第一至二章主要介绍课程设计前期准备工作和时分数字交换网络的原理及优势;第三章介绍数字交换网络即T接线器、S接线器以及输入控制、输出控制的原理;第四章介绍TSST接线器的设计原理和系统分析;第五章计算TSST接线器的网络阻塞。从本设计的基本机构来看,前三章属于基本内容,后三章属于课程设计的主题。本设计在TSST时分数字交换网系统结构时,花费的时间较多。对前三章的基本内容不宜花费过多的时间和精力。设计参数:输入级:128个T接线器;输出级:128个T接线器;每个接线器要求16线,每条HW线复用度为32;中间级:S型接线器,接线法自定设计内容:(1)T、S接线器的工作原理(2)系统组成(含系统图)(3)系统工作原理(举例说明,以某一个时隙交换为例)(4)网络阻塞讨论及分析第2章 时分数字交换网数字交换方面,早在50年代末就由美国BELL实验室发表了数字电路交换实验成果,并指出了数字交换和数字传输综合的发展背景,但限于当时元器件的条件,仅能停留在实验室而无法投入实际应用。70年代初,在数字PCM传输大量应用的基础上,发过成功发展了对PCM数字信号直接交换的交换机,它在控制方面采用程控方式,通话接续则采用电子器件实现的时分交换方式,由于控制部分和接续部分都采用了电子器件,也就实现了全电子交换,这种全电子时分式数字程控交换技术,表现出种种优点,促使世界各国都竞相发展这种程控数字交换技术。我国在80年代中期引进程控数字交换技术,直接跳跃了空分模式和用户级空分先集中后时分数字化阶段,一下就从机电式纵横制进入程控数字制式;到90年代中期,已有数千部万门多种制式,如1240、F-150、NE10X-61、AXE-10、DMS100、EWSD、ESS5等。程控数字交换机在我国各地电话网内使用,国产程控数字交换机如C&C08等机型在生产和应用上也有相当的规模。采用时分数字交换网的优点3:(1) 缩小了交换机的体积:时分数字交换机大量采用高集成度的电子元器件,因此体积很小,一个万门纵横制局大约需要几百个机架,其中接线器要占大部分,而同样容量的程控时分数字交换机,其机架个数只有几十个,而其中数字交换网所占比例不大。(2) 改善全程全网质音量:在采用PCM设备能解决居间传输问题的场合,时分数字程控电路交换特别有用,因为可实行传输和交换的综合,即在数字基础上直接交换,使传输和交换得到统一;数字交换设备采用的越多,和PCM传输设备结合得越紧,全程全网音质量的改善也越显著。(3) 有利于保密通信:由于数字信号将原来信号的结构和形状变换为1和0码的新组合;因而无法直接辨认,具有固有的保密性,更重要的是在数字信号中很容易加入密码,数字信号加密码后就难破解,有利于保密通信。第3章 数字交换的基本概念及原理3.1 数字交换网的基本概念HW3HW1TS2TS31TS31TS2数字交换网络AAAABBB在数字程控交换机中,来自于不同用户和中继线的话音信号被转换为数字信号,并被复用到不同的PCM复用线上。这些复用线连接到数字交换网络。为实现不同用户之间的通话,数字交换网络必须完成不同复用线之间的时隙交换,即将数字交换网络某条输入复用线上某个时隙的内容交换到指定的输出复用线上的指定时隙。数字交换机中的A,B两个用户通话时经数字交换网络连接的简化示意图,如图3-1所示。图3-1 数字交换机中两用户通话经数字交换网连接的简化示意图43.2 时间(T)接线器3.2.1 T接线器的基本组成T接线器的作用是完成同一复用线(母线)上的不同时隙的交换。即将T接线器中输入复用线上的某个时隙的内容交换到输出复用线上的制定时隙。T接线器的结构如图3-2所示。由图可见,T接线器主要由话音存储器(SM)、控制存储器(CM)以及必要的接口电路组成。SM和CM都包含若干个存储单元,存储器单元数量等于复用线的复用度。为了简化,通常将SM和CM用示意图的形式表示出来。语音存储器存储用户的语音信号。注意这里的语音信号是数字形式的并行码,因此在实际存储前需要将PCM复用线上送来的串行码进行串/并变换,变换为并行码。在交换机中,SM不仅可以存储语音信号,也可以存储用户的数据信息,以及信号音设备提供的数字化的信号音等。由于SM用来存放语音信号的PCM编码,所以每个单元的位元数至少为8位。控制存储器的作用是存储处理机的控制命令字,控制命令字的主要内容用来指示写入或读出的语音存储器地址。设控制存储器的位元数为i,复用线的复用度为j,则应满足2ij。)时钟CLKTSjTS1语音存储器SM控制存储器CM SS语音信息S 控制命令字 图3-2 T型接线器的结构23.2.2 T接线器的工作方式和工作原理T接线器可以有两种控制方式:输出控制方式和输入控制方式。在两种控制方式下,语音存储器(SM)的写入和读出地址按照不同的方式确定。(1)输出控制方式采用输出控制方式的T接线器的工作原理如图3-3所示。输出控制方式也叫顺序写入、控制读出方式,T接线器的输入线的内容按照顺序写入话音存储器(SM)的相应单元,即输入复用线上第I时隙的内容就写入SM的第I个单元。话音存储器的写入地址,是由时钟信号分频后得到的。而输出复用线某个时隙应读出话音存储器的哪个单元的内容,则由控制存储器的相应单元的内容来决定,即控制存储器的第j个单元存放的内容k,就是输出复用线第j个时隙应读出的话音存储器的地址。控制存储器的内容是在呼叫建立时由计算机写入的,在此呼叫接续期间,控制存储器j单元的内容保持不变。例如,在图3-3中,要将T接线器的输入线上TS6的内容S交换到输出线的TS20上,为完成这个交换,计算机在呼叫建立时将控制存储器第20单元的值设置为6;在此呼叫接续期间,输入复用线TS6的内容S按照数序写入话音存储器的6单元,而在时隙20时,由于控制存储器的20单元的内容是6,就将话音存储器6单元的内容S输出到输出线的TS20,从而完成规定的交换。(2)输入控制方式采用输入控制方式的T接线器的工作原理如图3-4所示。输入控制方式也叫控制写入、顺序读出方式,采用输入控制方式时,T接线器的输入复用线上某个时隙的内容,应写入话音存储器的哪个单元,由控制存储器相应单元的内容来决定。即控制存储器的I单元的内容j,就是输入复用线TSi的内容应写入的话音存储器的地址j。同样,控制存储器的内容,是在呼叫建立时由计算机控制写入的。而输出复用线的某个时隙,就依次读出话音存储器相应单元的内容,即在时隙k时,就将话音存储器的k单元的内容读出,输出到输出线的TSk。话音存储器的读出地址,是由时钟信号分频得到的。例如,在图3-4中,要将输入线上TS6的内容S交换到输出线的TS20,在建立这个交换时,计算机将控制存储器的6单元的值设置为20,在这个呼叫接续期间,由于控制存储器的6单元的值为20,就将输入线TS6的内容S写入话音存储器的20单元,而在时隙20时。就将话音存储器20单元的内容S读出并输出到输出线的TS20,完成交换。SMSS S60TS TS20 631 CLK 写 读CM02031 写 读 CLKCPU图3-3 输出控制方式下工作的T型接线器的工作原理图3.3 空间(S)接线器3.3.1 S接线器的基本组成S型接线器的作用是完成在不同复用线之间同一时隙内容的交换,即将某条输入复用线上某个时隙的内容交换到指定的输出复用线的同一时隙。SM SS SS SS200 TS6 TS20 20 31 写 读 CLKCM0 631 写 读 CLKCPU图3-4 输入方式下工作的T型接线器的工作原理图S接线器的组成结构如图3-5所示。 HW1 HW1 HW2 HW2 HW3 HW3 CM1 CM2 CM3 0 1 . . m图3-5 S接线器的组成结构由图可见,S接线器主要由一个连接n条输入复用线和n条输出复用线的n*n的电子接点矩阵、控制存储器组以及一些相关的接口逻辑电路组成。S接线器交换的时隙信号通常是并行信号,因此,在实际交换系统中,如果交换的话音信号是8位的数字信号,则图3-5所示的交叉矩阵就应该配备8个,每个完成1位的交换。当然这8个交叉矩阵是在同一组控制存储器中控制命令控制下并行工作的。电子交叉点矩阵由高速门电路构成的多路选择器组成。矩阵的大小取决于S接线器的内容,例如88的交叉矩阵可由8个8选1的选择器构成。控制存储器共有n组,每组控制存储器的存储单元数等于复用线的复用度。第j组控制存储器的第I个单元,用来存放在时隙I时第j条输入(输出)复用线应接通的输出(输入)线的线号。设控制存储器的位元数为i,S接线器的输入(输出)线的数目为n,则控制存储器的位元数应满足以下关系:2i n.3.3.2 S接线器的两种控制方式和控制原理n2211n2n1gdahebigfcfbiidahecS型接线器有输入和输出两种控制方式。在输出控制方式下,控制存储器是为输出线配置的。对于有n条输出线的S接线器来说,配备有n组控制存储器CM1-CM,设输出线的复用度为m,则每组控制存储器都有m个存储单元。CM1控制第1条输出线的连接,在CM1的第I 个存储单元中,存放的内容是时隙I时第1条输出线应该接通的输入线的线号。Cm2控制第2条输出线的连接,以此类推,CMn控制第n条输出线的连接。控制存储器的内容是在连接建立时由计算机控制写入的。在输出控制方式下工作的S接线器的工作原理如图3-6所示。 TS3 TS2 TS1 TS3 TS2 TS1 HW1HW1HW2 HW2HWn HWn CM1 CM2 CMn 0 1 2 3 31图3-6 输出控制方式下工作的S接线器的工作原理由图可见,由于控制存储器CM1的1号单元值为n,所以输出线HW1在时隙1时与输入线HWn接通,将输入线HWnTS1上的内容C交换到输出线HW1的TS1上,CM1的2号线单元的值为2,所以输出线HW1在时隙2时与输入线HW2接通,将输入线HW2TS2的内容e交换到输出线HW的TS。在输入控制方式时,控制存储器是为输入线配置的,在控制存储器CM的第I个单元中存放的内容,是第条输入复用线在时隙I时应该接通的输出线的线号。在输入控制方式下工作的S接线器的工作原理如图3-7所示。gdahebihfcfagdcieb21n21n2n1 TS3 TSTS TS3TS2 TS HW1HW1HW2 HW2HWn HWn CM1 CM2 CMn 0 1 2 3 31 图 输入控制方式下工作的S接线器的工作原理第四章 TSST时分数字交换网TSST为四级交换网络,两侧是T接线器,中间是S接线器对于有n条输入复用线和n条输出复用线的交换网络而言,需要配置2n套接线器。其中一个n条复用线在输入侧,称为初级T接线器,将输入线上的某个时隙的内容交换到选定的交换网络内部公共时隙;另一个n套在输出侧,称为次级T接线器,将交换网络内部的公共时隙的内容交换到输出线的定制时隙。交换网络内部能够提供的公共时隙的数量决定了交换网络中能够形成的话路通道的数量。中间的S接线器主要由相应设计的线路来决定,是用来将交换网络内部运载用户信息的公共时隙,从一条输入侧复用线上交换到规定的一条输出复用线上。而初级T接线器和次级T接线器一般采用不同的工作方式。一般将数字交换网络的输入端称为上行通路,用来与用户信息的发送端相连;将数字交换网络的输出级称为下行通道,用来与用户信息的接收端相连,TSST交换网络结构图如图4-1所示。T1TnT2T111T2Tn Snm交叉矩阵S mn交叉矩阵 图4-1 TSST数字交换网络4.1 串/并变换和并/串变换经过串/并变换的信号由数字交换部件完成必要的交换之后,还需要由并/串变换电路完成并/串变换,然后再经过PCM系统传出去。并/串变换电路可以由锁存器和一位寄存器等基本电路组合实现,并/串变换的原理图如图4-2所示。 锁存器锁存器7移位寄存器移位寄存器 输 D0 HW0 入 D7 CP S TD0CP输 S 出 HW7图4-2 并串变换原理图4.2 TSST接续网TSST时分数字交换网由二级T型接线器和二级S型接线器组成。TSST接续网方框图如图4-3所示。 串并转换 并串转换 串并转换 并串转换 T S S T 8 16 16 8 8 16 16 8 8 16 8 1616 8 16 8 0 0 0 0 (512) (512) 15 0 7 0 0 7 0 15 15 0 15 0 0 16 15 15 16 (51 8 8 (512) 127 15 15 127 7图4-3 TSST网络方框图由图可知:初级为128个初级T接线器,每个T接线器输入为16线,经过并串变换后,输出为8线。中间级由1个816的S接线器(S1)和一个168的S接线器(S2)构成,16个S1接线器和16个S2接线器为一组,一共8组。次级为128个T接线器,每个接线器输入为8线,经过串并变换后,输出为16线。如果每个T型接线器可进行16端脉码交换,则TSST网的总容量可达128162048端脉码。中间二级S型接线器是背对背地对称连接,即第二级S1接线器为816矩阵,第三级S2接线器为168矩阵。第一个初级T接线器的8条输出线分别与每组S接线器的第一个S1接线器的第一条输入线相连 ,以此类推,最后一个初级T接线器的8条输出线分别与每组S接线器的最后一个S1接线器的最后一条输入线相连。每组的S1接线器的输出线分别与组内的S2接线器的输入线相连。第一个S1接线器的16条输出线分别连接组内16个S2接线器的第一条输入线。同理,最后一个S1接线器的16条输出线分别连接组内16个S2接线器的最后一条输入线。第一个次级T接线器的8条输入线分别与每组S接线器的第一个S2接线器的第一条输出线相连,同理,最后一个次级T接线器的8条输入线分别与每组S接线器的最后一个S2接线器的最后一条输出线相连。假设:信号从初级第一个初级T接线器的的第0号输入线的第10时隙到第一个T接线器的第0号输出线的第10时隙(初级T1接线器输入可实现32个时隙之间的交换,而输出则实现64个时隙的交换);通过S1中第0号输入线到S1中第0号输出线(S1的内部时隙选择第5时隙),再传送给S2的第0号输入线至S2的第0号输出线(S2的内部时隙选择第5时隙);在经过次级T接线器的第0条输入线的第20时隙到达终点。4.3 TSST网络工作原理 初级T接线器采用输出控制方式, S1接线器采用输出控制方式, S2接线器采用输处控制方式,次级T接线器采用输入控制方式。设在一次呼叫中主叫用户A占用HW1的TS10,被叫用户占用HW3的TS20,为完成这两个用户的通话,就要将主叫用户A的发话话音的PCM编码送给B用户,将B用户的发话上行通路HW3的TS20交换到下行通路HW1的TS10。下面简要说明主叫用户A到被叫用户B的交换过程。网络交换图如图4-4所示。A到B的交换:将用户A的话音信息的PCM编码由交换网络的上行通道HW1的TS10,交换到用户B占用的下行通道HW3的TS20,交换网络内部时隙选用ITS5 。为了完成这个交换,计算机在呼叫建立时将初级T接线器的控制存储器CMA1(5)的值设置为10;将S1接线器的控制存储器CM2(5)的值设置为1,CM1(5)的值设置为2,将S2接线器的控制存储器CM2(21)的值设置为3,CM3(21)的值设置为2;将次级T接线器的控制存储器的CMB2(5)的值设置为20。由于初级T接线器采用顺序写入、控制读出方式,上行通路HW1的TS10传送来的用户A的话音信息的PCM编码写入其话音存储器SMA1(10),在时隙10时被读出并送到其输出端,也是S1接线器输入线HW1的ITS5。由于S1接线器采用输出控制方式,S1接线器的控制存储器CM2(5)的值为1,CM1(5)的值为2,所以S接线器的输入线HW1与输出线HW2在时隙5接通,也是S2接线器输入线HW2的ITS5。由于S2接线器采用输出控制方式,S2接线器的控制存储器CM2(21)的值为3,CM3(21)的值为2,所以S1接线器的输入线HW2与输出线HW1在时隙21接通。将用户A的话音的PCM编码传送到S2接线器的输出线HW2的TS5,即次级T接线器SMB3的输入线的ITS5,由于次级T接线器采用控制写入、顺序读出方式,由其输入线TS10传送来的用户A话音信息被写入话音存储器SMB3(20),因CMB3(5)的内容是20,所以在时隙20时,用户A的话音信息从SMB3(20)读出被传送到HW3,完成了规定的交换。 初级T接线器 S1接线器 S2接线器 次级T接线器 A 10AA2 12 BBB20BBBAAA200310 (输出控制) (输出控制) (输出控制) (输入控制) SMA1 SMB1 0 0 TS10 ITS5 ITS21 TS10 10 10 HW1 HW1 63 63 输入 输出 S1 S1 0 0 0 0 5 5 21 21 63 63 63 63 CMA1 CM2 CM2 CMB1 SMA3 S2 S2 SMB3 0 0 0 0 TS20 ITS21 I ITS5 TS20 20 21 5 20 HW3 HW3 63 63 63 63 CM3 CM1 0 0 21 5 63 63 CMA3 CMB3 图4-4 TSST网络的工作原理B到A的交换:将用户B的话音信息的PCM编码从交换网络的上行通路的HW3的TS20交换到用户A占用的下行通路的HW1的TS10。其内部时隙ITS采用反相法来确定。采用反向法时,两个通路的内部时隙相差半帧,公式见(4-1): (4-1) 式中Y为反向通路的内部时隙号,X为正向通路的内部时隙号,n为每帧的时隙数(即复用度)。(X+n/2)mod n表示(x+n/2)对n取余。在本传输过程中,反向通路的内部时隙号见公式(4-2): (4-2) 因此反向通路的内部时隙为ITS21。反向通路的交换过程与正向通路类似,这里不再累述。第五章 网络阻塞分析 网络的阻塞是指交换时发生对同一公共资源的争抢的现象。主叫发出呼叫时,被叫虽然空闲,但由于网络内部链路(这里主要指交换网络)不通,而使呼叫损失的情况。对于以上设计的TSST

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论