Xilinx公司ISE101软件及简单设计流程介绍-2.ppt_第1页
Xilinx公司ISE101软件及简单设计流程介绍-2.ppt_第2页
Xilinx公司ISE101软件及简单设计流程介绍-2.ppt_第3页
Xilinx公司ISE101软件及简单设计流程介绍-2.ppt_第4页
Xilinx公司ISE101软件及简单设计流程介绍-2.ppt_第5页
已阅读5页,还剩33页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

,Xilinx公司ISE10.1软件介绍 -ISE主界面,Xilinx公司ISE10.1软件介绍 -ISE主界面窗口功能概述,1)左上角的窗口是源文件窗口,设计工程所包括的 文件以分层的形式列出。 2)在该子窗口的下面是处理窗口,该窗口描述的是 对于选定的设计文件可以使用的处理流程。 3)在ISE主界面最下面是脚本窗口,在该窗口中显示 了消息、错误和警告的状态。同时还有Tcl脚本的交互和 文件中查找的功能。 4)在ISE的右上角是多文档的窗口,在该窗口可以查 看html的报告,ASCII码文件、原理图和仿真波形。通过 选择View-Restore Default Layout可以恢复界面的原始设 置。,Xilinx公司ISE10.1软件介绍 -源文件(source)子窗口,这个窗口有三个标签:源(Source)、Snapshots(快 照)、Library(库)。 源标签内显示工程名、指定的芯片和设计相关文档。 在设计视图的每一个文件都有一个相关的图标,这个 图标显示的是文件的类型(HDL文件、原理图、IP核和文 本文件)。+表示该设计文件包含了更低层次的设计模 块。,Xilinx公司ISE10.1软件介绍 -处理(process)子窗口,在该窗口只有一个处理标签。该标签有下列功能: 添加已有文件; 创建新文件; 察看设计总结(访问符号产生工具,例化模板,察看命令行历史和仿真库编辑); 用户约束文件(访问和编辑位置和时序约束); 综合(检查语法、综合、察看RTL和综合报告); 设计实现(访问实现工具,设计流程报告和其它一些工具); 产生可编程文件(访问配置工具和产生比特流文件)。,Xilinx公司ISE10.1软件介绍 -脚本(transcript)子窗口,脚本子窗口有5个默认标签:Console,error,warnings , Tcl shell,find in file。 Console标签显示错误、警告和信息。X表示错误,!表示警告。 Warning标签只显示警告消息。 Error标签只显示错误消息。 Tcl shell标签是与设计人员的交互控制台。除了显示错误、警告和信息外,还允许输入ISE特定命令。,Xilinx公司ISE10.1软件设计流程介绍 -创建一个新工程,Xilinx公司ISE10.1软件设计流程介绍 -创建一个新工程,这里可以新建一个文件,也可以在工程属性建立完成后在工程内新建,我们选择 Next,Xilinx公司ISE10.1软件设计流程介绍 -创建一个新工程,这里可以添加工程文件,也可以在工程建立后添加,我们选择Next,Xilinx公司ISE10.1软件设计流程介绍 -创建一个新工程,给出了整个工程大致属性,Finish,Xilinx公司ISE10.1软件设计流程介绍 -创建一个新工程,完成后在Sources窗口中显示工程文件夹以及工程所用芯片。,在该窗口中右键,可以新建文件,添加已经写好的文件,添加文件并复制该文件到工程文件夹中。,Xilinx公司ISE10.1软件设计流程介绍 -创建一个新工程,该文件的实体名,新建文件的类型,不同的类型有着不同的功能和意义。,Xilinx公司ISE10.1软件设计流程介绍 -创建一个新工程,端口名,端口的类型及位数,Next,Xilinx公司ISE10.1软件设计流程介绍 -添加实体端口,给出了该文件的概要,Finish,Xilinx公司ISE10.1软件设计流程介绍 -添加实体端口,Xilinx公司ISE10.1软件设计流程介绍 -添加实体端口,双击gate文件,自动生成实体结构,生成了结构体框架 只需加入逻辑语句即可,Xilinx公司ISE10.1软件设计流程介绍 -自动生成文件结构框架,添加的逻辑代码,-之后为注释语句,Xilinx公司ISE10.1软件设计流程介绍 -添加代码及注释,Xilinx公司ISE10.1软件设计流程介绍,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,选择所要仿真的VHDL文件,Next,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,给出该波形文件的相关属性,Finish,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,仿真波形的设置界面:这里显示的主要是时钟方面的设置。,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,增对该工程设置方式如图,波形文件长度的设置,Finish,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,切换到行为仿真,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,Xilinx公司ISE10.1软件设计流程介绍 -添加波形仿真文件,Xilinx公司ISE10.1软件设计流程介绍 -添加管脚约束文件(.UCF文件),Xilinx公司ISE10.1软件设计流程介绍 -对管脚进行约束,选中顶层文件在Processes窗口中,双击,进行对应管脚的约束,保存关闭,Xilinx公司ISE10.1软件设计流程介绍 -对管脚进行约束,Xilinx公司ISE10.1软件设计流程介绍 -查看或修改管脚约束文件,Xilinx公司ISE10.1软件设计流程介绍 -工程实现及产生位流文件,Xilinx公司ISE10.1软件设计流程介绍 -下载bit文件,Xilinx公司ISE10.1软件设计流程介绍 -下载bit文件,弹出的窗口是为芯片配置bit文件,选择gate

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论