ISE设计流程1课件_第1页
ISE设计流程1课件_第2页
ISE设计流程1课件_第3页
ISE设计流程1课件_第4页
ISE设计流程1课件_第5页
已阅读5页,还剩42页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

ISE设计流程2024/4/16ISE设计流程[1]Outline1、ISE设计流程2、Example2024/4/162ISE设计流程[1]传统数字系统设计流程设计目标人工给出真值表人工化简卡诺图得到最简表达式人工使用LSI电路实现系统调试和验证2024/4/163ISE设计流程[1]现代数字系统设计流程设计目标设计输入功能级仿真逻辑综合时序仿真系统调试与验证entitylab1isport(a,b,c:instd_logic;y:outstd_logic);endlab1;

architecturertloflab1isbeginy<=aor(candb);endrtl;综合后仿真转换(Translate)转换(Translate)映射(Map)适配(Fit)布局和布线(PAR)设计下载CPLD设计FPGA设计实现CLBCLBCLBCLB配置文件加载后,用示波器、逻辑分析仪、软件程序观察2024/4/164ISE设计流程[1]ISE完整设计功能2024/4/165ISE设计流程[1]ISE软件操作流程2024/4/166ISE设计流程[1]ISE设计流程SimulationFlowSimulationCompilerVHDLSimulationWaveformVHDLLibrary

VHDLTestBench

SimulationModelTextOutput

TestVectorsOptionalVHDLModelSimulationTools?ISIMModelsim2024/4/167ISE设计流程[1]SynthesisFlowSynthesisCompilerSimulationWaveformVHDLLibraryNetlistTextOutput

TestVectorsTimingAnalysisPlace/RouteTechnologyLibrary

VHDLModelSynthesisTools?2024/4/168ISE设计流程[1]常用的开发工具MatlabISEModelSimChipscope2024/4/169ISE设计流程[1]ISE主界面源文件窗口处理子窗口脚本子窗口工作区子窗口2024/4/1610ISE设计流程[1]ISE设计实例3比特计数器2024/4/1611ISE设计流程[1]新建工程工程名器件名字生成了空的工程框架2024/4/1612ISE设计流程[1]建立/添加新的设计文件块存储器映像文件在线逻辑分析仪Chipscope定义和连接文件实现约束文件IP生成向导存储器文件原理图文件用户文档文件Verilog模块模板文件Verilog测试平台模板文件VHDL模块模板文件VHDL库模板文件VHDL包模板文件VHDL测试平台模板文件片上系统设计向导2024/4/1613ISE设计流程[1]综合在ISE的主界面的处理子窗口的synthesis的工具可以完成下面的任务:查看RTL原理图(ViewRTLschematic)查看技术原理图(ViewTechnologySchematic)检查语法(CheckSyntax)产生综合后仿真模型(GeneratePost-SynthesisSimulationModel)。选中该选项并将其展开2024/4/1614ISE设计流程[1]行为级仿真点击“按钮”,直到出现窗口波形仿真波形窗口2024/4/1615ISE设计流程[1]添加实现约束文件实现约束文件top.ucf已经添加到设计中选择top.vhd选择UserConstraints,并展开该选项双击I/OPinPlaning(PlanAhead)-Post-Synthesis2024/4/1616ISE设计流程[1]添加IO约束输入对应的FPGA的引脚选择对应引脚的电平LVCMOS33保存引脚约束,并退出该界面2024/4/1617ISE设计流程[1]设计实现选择ImplementDesign,并展开第一步:转换“Translate”翻译的主要作用是将综合输出的逻辑网表翻译为Xilinx特定器件的底层结构和硬件原语。第二步:映射“Map”映射的主要作用是将设计映射到具体型号的器件上。第三步:布局和布线”Place&Route”布局布线的主要作用是调用Xilinx布局布线器,根据用户约束和物理约束,对设计模块进行实际的布局,并根据设计连接,对布局后的模块进行布线,产生配置文件。选择top.vhd2024/4/1618ISE设计流程[1]生成配置bit文件进行JTAG调试生成PROM烧写文件烧写PROM2024/4/1619ISE设计流程[1]下载设计到FPGA芯片选择top.vhd选择ConfigureTargetDevice,并展开选择ManageConfigurationProject(iMPACT),并双击.ISE设计流程[1]选择BoundaryScan,(边界扫描)鼠标右击该区域,出现选择InitializeChain(初始化链)下载设计到FPGA芯片ISE设计流程[1]Xcf04s-Xilinx的串行Flash芯片xc3s500e-Xilinx的FPGA芯片两个芯片连接在JTAG链路上点击“Yes”按钮下载设计到FPGA芯片ISE设计流程[1]先不烧写设计到PROM芯片中,所以选择”Cancel”按钮下载设计到FPGA芯片ISE设计流程[1]找到设计工程所在的目录找到要下载的比特流文件top.bit点击打开按钮下载设计到FPGA芯片ISE设计流程[1]Spartan-3E支持商用的并行Flash,此处不需要使用它,所以选择“No”按钮下载设计到FPGA芯片ISE设计流程[1]下载属性设置,此处选择默认设置,然后点击“OK”按纽下载设计到FPGA芯片ISE设计流程[1]xc3s500e,已经分配了下载文件top.bit鼠标右健点击芯片图标,出现下面的菜单点击“Program”选项,开始对FPGA进行编程下载设计到FPGA芯片ISE设计流程[1]点击“OK”按钮下载设计到FPGA芯片ISE设计流程[1]出现编程进度条编程完成后,出现下面界面下载设计到FPGA芯片ISE设计流程[1]点击CreatePROMFile下载设计到FPGA芯片ISE设计流程[1]选择XilinxFlash/PROM选项点击该按钮,进入下一步下载设计到FPGA芯片ISE设计流程[1]下拉框中选择xcf04s下载设计到FPGA芯片ISE设计流程[1]选择AddStorageDeviceXCF04S被添加点击该按钮,进入下一步下载设计到FPGA芯片ISE设计流程[1]点击“浏览”按钮,定位要转换的比特流下载设计到FPGA芯片ISE设计流程[1]定位到设计工程所在的目录输入名字“counter_burn”点击“OK”按钮生成PROM文件并下载到PROMISE设计流程[1]点击“OK”按钮生成PROM文件并下载到PROMISE设计流程[1]选择top.bit文件点击“打开”按钮生成PROM文件并下载到PROMISE设计流程[1]点击“No”按钮,不添加其它需要转换的比特流文件点击“OK”按钮生成PROM文件并下载到PROMISE设计流程[1]在主菜单下,选择Operations->GenerateFile…关闭该界面生成PROM文件并下载到PROMISE设计流程[1]下面将生成的PROM文件烧到PROM芯片中。选择BoundaryScan准备分配PROM文件给XCF04S生成PROM文件并下载到PROMISE设计流程[1]鼠标右键点击芯片图标选择AssignNewConfigurationFile…生成PROM文件并下载到PROMISE设计流程[1]选择counter_burn.mcs文件点击“打开”按钮生成PROM文件并下载到PROMISE设计流程[1]生成PROM文件并下载到PROM鼠标右健点击芯片图标,出现下面的菜单点击“Program”选项,开始对FPGA进行编程ISE设计流程[1]出现编程进度条编程完成后,出现下面界面生成PROM文件并下载到PROMIS

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论