EDA课程设计(论文)-电梯控制系统设计.doc_第1页
EDA课程设计(论文)-电梯控制系统设计.doc_第2页
EDA课程设计(论文)-电梯控制系统设计.doc_第3页
EDA课程设计(论文)-电梯控制系统设计.doc_第4页
EDA课程设计(论文)-电梯控制系统设计.doc_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

燕 山 大 学 课 程 设 计 说 明 书燕山大学课 程 设 计 说 明 书题目: 电梯控制 学院(系): 电气工程学院 年级专业: 09级应电3班 学 号: 学生姓名: 指导教师: 教师职称: 实验师 燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目电梯控制设计技术参数楼层显示1-7电梯自动从1层上升到7层,再从7层下降到1层在点阵电路上显示流动的上下箭头具有暂停功能设计要求8*8点阵电路显示上下箭头用1个数码管显示楼层1个数字开关实现暂停功能工作量学会使用max+plusii软件、verilog hdl语言和实验箱;独立完成电路设计,编程下载、连接电路和调试;参加答辩并书写任务书。工作计划1. 了解eda的基本知识,学习使用软件max+plusii,下发任务书,开始电路设计;2. 学习verilog hdl语言,用verilog hdl进行程序设计3. 学习使用实验箱,继续电路设计;4. 完成电路设计;5. 编程下载、连接电路、调试和验收;6. 答辩并书写任务书。参考资料数字电子技术基础.阎石主编.高等教育出版社.eda课程设计a指导书.郑兆兆等编. verilog hdl程序设计与应用王伟编著指导教师签字基层教学单位主任签字说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。2012年01月 05 日 目 录第一章 设计说明3 一、设计思路 3 二、模块介绍 5 三、真值表 6第二章 verilog hdl设计程序序 8第三章 波形仿真图 15第四章 管脚锁定及硬件连线 16第五章 总结 17参考文献 18第一章 设计说明 本课题设计实现了对电梯显示电路的控制。当电梯上升时,8*8点阵上出现流动的上升箭头,显码管随电梯上升依次显示1-7;当电梯下降时,8*8点阵上出现流动的下降的箭头,显码管随电梯下降依次显示7-1。电梯具有暂停功能。在实现课题要求的基础上,根据电梯的实际应用环境,增加了一下几个功能:一、电梯在中途停止时,箭头不在流动,而是根据实际情况显示闪动的向上或向下的箭头。此时电梯的实际楼层位置与显码管显示应该一致,数码管不再变化。二、电梯暂停时,蜂鸣器随箭头闪动而发出声音,提示电梯处于暂停状态。暂停结束,蜂鸣器不再发声。三、电梯显示层数用动态数码管显示,电梯处于第几层,第几个动态数码管显示楼层数。一、设计思路该设计设置了一个开关switch,实现暂停功能。用中间变量flag作为电梯上升下降的使能信号,低电平时电梯上升,高电平时电梯下降。电梯到第一层是flag置零,第七层时flag置一。用ss记楼层数,flag为零时,ss递增,flag为一时,ss递减。并用ss作为数码管的位选信号。把ss编译为七段码的七位段码,用rl表示,并输出。用t作为蜂鸣器的使能信号,高电平有效。用row和line控制点阵的行列扫描。由于箭头流动或闪动会有多个状态,所以程序中用中间变量p控制扫描哪个箭头。箭头的扫描过程如下:依次快速扫描下图1、2、3、4时由于发光二极管的余晖和人眼的视觉停留就会使人看到如图五的效果。其他图形同理可以得到(在此不一一列举)。当快速扫描时图5、6、7转换时,给人的感觉就是箭头在闪动。向下的闪动箭头同理可以得到。图1 图2 图2 图4 图5 图6 图7 图8 二、模块介绍该设计使用一个模块,内含4个always模块:模块1:电梯升降控制模块在此模块中,当开关switch开通时,ss开始计数,(clk0控制其计数周期,本程序中clk0为0.5hz,即2秒钟电梯上升一层)flag为0时电梯上升,ss开始递增,ss为时flag为1,电梯下降,ss递减,ss为0时,flag置0.依次循环。同时ss即为楼层数。当switch关断时,ss保持原值,不再计数,电梯暂停。模块2:箭头各状态扫描时间及蜂鸣器控制模块在此模块中,首先用clk1(2hz)控制箭头各个状态的转换时间,即每个箭头保持时间。当开关switch为1时 ,中间变量p开始从0到2循环计数,当电梯上升即flag为0时,在模块3中依次扫描上升的三个箭头,如图5、6、7依次扫描。出现向上闪动箭头。当switch为0时,p从3到4循环计数,电梯上升时,依次扫描图5和图8。点阵出现向上闪动箭头。电梯向下时同理。再次就是控制蜂鸣器,当switch为0,且p为3时。蜂鸣器使能端t置高电平,其他情况t为低电平。蜂鸣器发声周期与箭头闪动周期相同。模块3:点阵扫描控制模块在此模块中,快速(clk2为1024hz)扫描点阵的行和列,例如快速扫描图1、2、3、4时。会出现图5的上升箭头。当flag为0时扫描向上的箭头,当flag为1时,扫描向下的箭头。模块4:数码管显示控制模块在此模块中,ss控制位选信号,rl控制七段码段选信号,每一个ss对应一个七段码。当电梯升降式,动态数码管依次显示楼层数。三、真值表1、 点阵箭头显示真值表。表一行列line0line1line2line3line4line5line6line7row0000011000row1000011000row2000011000row3000011000row4000011000row5000011000row6000011000row7000011000 表二行列line0line1line2line3line4line5line6line7row0100100100row1000100100row2000100100row3000100100row4000100100row5000100100row6000100100row7000100100表三行列line0line1line2line3line4line5line6line7row0101000010row1101000010row2001000010row3001000010row4001000010row5101000010row6101000010row7101000010表四行列line0line1line2line3line4line5line6line7row0010000001row1010000001row2010000001row3110000001row4110000001row5010000001row6010000001row7010000001以上四个表为上升的完整箭头的真值表,由于状态较多,在此不一一列出真值表。2、数码管显示真值表。升降位选段选楼层flagss0ss1ss2rl0rl1rl2rl3rl4rl5rl6000100001101001010110112001110011113010011001104010111011015011011111016111100001117111011111016110111011015110011001104101110011113101010110112000100001101第二章 verilog hdl设计源程序电梯控制的源程序如下:module a(clk0,clk1,clk2,line,row,rl,ss,switch,t);/clk0控制电梯上升时间;input switch,clk0,clk1,clk2; /clk1控制各个画面转换时间;output line,row,rl,ss,t; /clk2控制点阵扫描时间; reg7:0 line; /line,row控制点阵的行和列;reg7:0 row; /ss为楼层数;reg6:0 rl; /rl表示七段译码;reg2:0 ss;reg t; /switch为数字开关integer flag;integer p;reg1:0c;always (posedge clk0) if (switch) begin if(flag=0) /上升 begin ss=ss+1; if(ss=3b111) flag=1; end else if(flag=1) /下降 begin ss=ss-1; if(ss=3b001) flag=0; end endelse /暂停 ss=ss; always (posedge clk1)begin if(switch=1) begin t=0; if(p2) p=p+1; else p=0; end else if(switch=0) begin if(p=3) begin t=1; p=p+1; end else begin t=0; p=3; end endendalways (posedge clk2)if(flag=0) /flag=0时,显示上升箭头; begin if(p=0) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b00000000;end 1: begin line=8b00100100;row=8b00000001;end 2: begin line=8b01000010;row=8b11100011;end 3: begin line=8b10000001;row=8b11100111;end endcase end else if(p=1) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b11110000;end 1: begin line=8b00100100;row=8b11110000;end 2: begin line=8b01000010;row=8b11111110;end 3: begin line=8b10000001;row=8b11111110;end endcase end else if(p=2) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b00000111;end 1: begin line=8b00100100;row=8b00001111;end 2: begin line=8b01000010;row=8b00011111;end 3: begin line=8b10000001;row=8b00111111;end endcase end else if(p=3) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b00000000;end 1: begin line=8b00100100;row=8b00000001;end 2: begin line=8b01000010;row=8b11100011;end 3: begin line=8b10000001;row=8b11100111;end endcase end else if(p=4) begin line=8b00000000;row=8b11111111; end end else if(flag=1) /flag=1时,显示下降箭头; begin if(p=0) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b00000000;end 1: begin line=8b00100100;row=8b10000000;end 2: begin line=8b01000010;row=8b11000111;end 3: begin line=8b10000001;row=8b11100111;end endcase end else if(p=1) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b00001111;end 1: begin line=8b00100100;row=8b00001111;end 2: begin line=8b01000010;row=8b01111111;end 3: begin line=8b10000001;row=8b01111111;end endcase end else if(p=2) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b11100000;end 1: begin line=8b00100100;row=8b11110000;end 2: begin line=8b01000010;row=8b11111000;end 3: begin line=8b10000001;row=8b11111100;end endcase end else if(p=3) begin c=c+1; case(c) 0: begin line=8b00011000;row=8b00000000;end 1: begin line=8b00100100;row=8b10000000;end 2: begin line=8b01000010;row=8b11000111;end 3: begin line=8b10000001;row=8b11100111;end endcase end else if(p=4) begin line=8b00000000;row=8b11111111; en endalways (ss) begin case(ss) 0: begin rl= 7b0000000;end 1: begin rl= 7b0000110;end 2: begin rl= 7b1011011;end 3: begin rl= 7b1001111;end 4: begin rl= 7b1100110;end 5: begin rl= 7b1101101;end 6: begin rl= 7b1111101;end 7: begin rl= 7b0000111;end endcaseendendmodule第三章 波形仿真图1楼层显示波形图9 2蜂鸣器波形 图103点阵波形图114整体波形图12第四章 管脚锁定及硬件连线1、管脚锁定信号clk0clk1clk2switchtss0ss1ss2管脚号7471693938737068信号row0row1row2row3row4row5row6row7管脚号198196193191189179176174信号line0line1line2line3line4line5line6line7管脚号197195192190187177175173信号rl 0rl 1rl 2rl 3rl 4rl 5r l6管脚号758587899088862硬件连接pin7471693938737068实验箱clock22clook20clock11/ss0ss1ss2pin198196193191189179176174实验箱row1row2row2row4row5row6row7row8pin197195192190187177175173实验箱ra1ra2ra3ra4ra5ra6ra7ra8pin75858789908886实验箱abcdefg第五章 总结两周的课设很快就结束了,到最后我终于完成了我的任务电梯控制。在一开始我第一次接触了max+plusii软件,从一开始的一无所知,到后来慢慢了解,这是一个慢慢摸索,仔细总结,不断犯错,屡次改正的过程。在我拿到任务书的时候,我没有了一开始的好奇感,取而代之的是困惑与不解。一开始我走了许多弯路,但是经过不断地学习和总结,我的课题,从局部的思路设想到具体的程序设计,从程序的编译到对错误的修改,从程序的调试到上箱成功。我得到的不仅是一个比较成功的结果,在这个过程中我学到了很多东西,无论在理论上还是动手实践过程中,我都有了很大提高。经过这两周的实践与摸索,我深刻的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论