《单片机原理及应用》期末课程设计-计算器模拟系统.doc_第1页
《单片机原理及应用》期末课程设计-计算器模拟系统.doc_第2页
《单片机原理及应用》期末课程设计-计算器模拟系统.doc_第3页
《单片机原理及应用》期末课程设计-计算器模拟系统.doc_第4页
《单片机原理及应用》期末课程设计-计算器模拟系统.doc_第5页
已阅读5页,还剩21页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数理与信息工程学院单片机原理及应用期末课程设计计算器模拟系统 数理与信息工程学院单片机原理及应用期末课程设计 题 目: 计算器模拟系统 专 业: 计算机科学与技术(专升本) 班 级: 07计专升本 姓 名: 学 号: 指导老师: 成 绩: ( 2008.6 )目 录 第一节 引 言21.1 at89c51单片机介绍21.2 led数码管显示器概述51.3 输入设备键盘的简述6第二节 系统总体方案及硬件设计72.1 设计要求72.2 设计方案72.3 硬件系统设计72.3.1时钟电路设计72.3.2复位电路82.3.3显示驱动电路82.3.4蜂鸣器提示9第三节 软件设计103.1键盘的扫描103.2按键的处理113.3 动态数码显示12第四节 proteus软件仿真134.1 proteus isis简介134.2 proteus工作过程134.2.1加法演示结果144.2.2减法演示结果144.2.3乘法演示结果154.2.4除法演示结果16结 束 语27参考文献28计算器模拟系统数理与信息工程学院 07计算机专升本 指导教师: 第一节 引 言 本设计是一个计算器模拟系统,可以实现加减乘除的运算以及对计算器的数字信息的输入和运算结果并且显示在相应的led上,输入完毕要求有声音提示。对于计算器模拟系统的实现,很多种语言都可以实现,但c语言是我们学到的一种高级语言程序,用它来实现程序较为简单,易于实现。又由于要求模拟的计算器显示8位数字,所以采用八位led动态显示,为了简化线路采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。输出采用动态扫描的方式,每次中断时间一到,选通所要显示那一个的l ed ,并对其送相应的二进制代码,使其显示一位字符。中断时间很短,人眼分辨不出,所以可以实现数字一起显示出来由于输入采用4x4矩阵式键盘,所以本设计避免了i/o口扩展使程序简化。对于键盘为了消除抖动,需要进行延迟,再判断,确实有键按下后,可以根据该行不同的值用选择分支语句进行相应的操作。 八个引脚用来扫描键盘的输入,八个引脚用来驱动八位led显示,八个引脚用作八位led的位选信号。当显示器输出大于八位时,可以在剩下的i/o口中任意选一个用来使一扬声器发出声音表示输出超出预定的范围。本设计实现功能:(1)计算器最多只能显示8位数字,开机运行时,只有数码管最低位显示为“0”,其余位全部不显示;(2)具有44键盘,分别表示09、/ 、和清零键cl,输入的数字从设计的键盘输入;(3)第一次按下时,显示“d1”;第二次按下时,显示“d1d2”;第三次按下时,显示“d1d2d3”,8个全显示完毕,再按下按键下时,发出“嘀”提示音;(4)可以对计算结果小于256的两个无符号数进行加、减、乘、除运算,并显示计算结果。(5)结果溢出时发出“嘀”报警声。1.1 at89c51单片机介绍at89c51是一种带4k字节闪烁可编程可擦除只读存储器(fperomfalsh programmable and erasable read only memory)的低电压,高性能cmos8位微处理器,俗称单片机。at89c2051是一种带2k字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用atmel高密度非易失存储器制造技术制造,与工业标准的mcs-51指令集和输出管脚相兼容。由于将多功能8位cpu和闪烁存储器组合在单个芯片中,atmel的at89c51是一种高效微控制器,at89c2051是它的一种精简版本。at89c单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。图1-1 at89c51结构图管脚说明:vcc:供电电压。gnd:接地。p0口:p0口为一个8位漏级开路双向i/o口,每脚可吸收8ttl门电流。当p1口的管脚第一次写1时,被定义为高阻输入。p0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在fiash编程时,p0 口作为原码输入口,当fiash进行校验时,p0输出原码,此时p0外部必须被拉高。p1口:p1口是一个内部提供上拉电阻的8位双向i/o口,p1口缓冲器能接收输出4ttl门电流。p1口管脚写入1后,被内部上拉为高,可用作输入,p1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在flash编程和校验时,p1口作为第八位地址接收。p2口:p2口为一个内部上拉电阻的8位双向i/o口,p2口缓冲器可接收,输出4个ttl门电流,当p2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,p2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。p2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,p2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,p2口输出其特殊功能寄存器的内容。p2口在flash编程和校验时接收高八位地址信号和控制信号。p3口:p3口管脚是8个带内部上拉电阻的双向i/o口,可接收输出4个ttl门电流。当p3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,p3口将输出电流(ill)这是由于上拉的缘故。p3口也可作为at89c51的一些特殊功能口,如下表所示:口管脚 备选功能p3.0 rxd(串行输入口)p3.1 txd(串行输出口)p3.2 /int0(外部中断0)p3.3 /int1(外部中断1)p3.4 t0(记时器0外部输入)p3.5 t1(记时器1外部输入)p3.6 /wr(外部数据存储器写选通)p3.7 /rd(外部数据存储器读选通)p3口同时为闪烁编程和编程校验接收一些控制信号。 rst:复位输入。当振荡器复位器件时,要保持rst脚两个机器周期的高电平时间。ale/prog:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在flash编程期间,此引脚用于输入编程脉冲。在平时,ale端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ale脉冲。如想禁止ale的输出可在sfr8eh地址上置0。此时, ale只有在执行movx,movc指令是ale才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ale禁止,置位无效。/psen:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/psen有效。但在访问外部数据存储器时,这两次有效的/psen信号将不出现。/ea/vpp:当/ea保持低电平时,则在此期间外部程序存储器(0000h-ffffh),不管是否有内部程序存储器。注意加密方式1时,/ea将内部锁定为reset;当/ea端保持高电平时,此间内部程序存储器。在flash编程期间,此引脚也用于施加12v编程电源(vpp)。xtal1:反向振荡放大器的输入及内部时钟工作电路的输入。xtal2:来自反向振荡器的输出。振荡器特性:xtal1和xtal2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,xtal2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。芯片擦除:整个perom阵列和三个锁定位的电擦除可通过正确的控制信号组合,并保持ale管脚处于低电平10ms 来完成。在芯片擦操作中,代码阵列全被写“1”且在任何非空存储字节被重复编程以前,该操作必须被执行。此外,at89c51设有稳态逻辑,可以在低到零频率的条件下静态逻辑,支持两种软件可选的掉电模式。在闲置模式下,cpu停止工作。但ram,定时器,计数器,串口和中断系统仍在工作。在掉电模式下,保存ram的内容并且冻结振荡器,禁止所用其他芯片功能,直到下一个硬件复位为止。1.2 led数码管显示器概述led,发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。led的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是p型半导体,在它里面空穴占主导地位,另一端是n型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个“p-n结”。当电流通过导线作用于这个晶片的时候,电子就会被推向p区,在p区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是led发光的原理。而光的波长也就是光的颜色,是由形成p-n结的材料决定的。led的内在特征决定了它是最理想的光源去代替传统的光源,它有着广泛的用途。七段led有7个发光二极管按”日”字形排列,所有二极管的阳极或阴极连在一起。当某个发光二极管的阴极接低电平或阳极接高电平是时,对应二极管点亮,根据不同的组合。形成不同的字符0,1,2,3,4,5,6,7,8,9,a,b,c,d,e,f。 led具有静态和动态显示之分,动态是一个字位一个字位各只led轮流点亮,每个字位停留小于人的视觉,好像led是点亮的。lcd显示器有分段式和点阵式两种结构。lcd是一种被动显示器,由于它的功耗极低,抗干扰能力强,因而在低功耗的单片机系统中大量使用。1.3 输入设备键盘的简述键盘是有若干案件组成的开关矩阵,他能实现简单的人机对话。而与计算机系统的键盘有两类:一类是编码键盘,即键盘上比合建的识别由专门硬件来实现;另一类是非编码键盘,即键盘输入及闭合键的识别由软件来完成。图1-2 输入设备键盘图第二节 系统总体方案及硬件设计2.1 设计要求设计具体要求:(1)要求模拟的计算器至少显示8位数字,开机运行时,只有数码管最低位显示为“0”,其余位全部不显示;(2)设计44键盘,分别表示09、/ 、和清零键cl,输入的数字从设计的键盘输入;(3)第一次按下时,显示“d1”;第二次按下时,显示“d1d2”;第三次按下时,显示“d1d2d3”,8个全显示完毕,再按下按键下时,给出“嘀”提示音;(4)可以对计算结果小于256的两个无符号数进行加法运算,并显示计算结果。对于、/、和的运算为提高部分;(5)编写程序,用proteus软件进行仿真。报告中给出操作过程及运行效果图。2.2 设计方案(1)i/o口扩展问题本次设计要用到8个口作为输出显示led的位选通信号,8个口用作led的驱动而对于16个输入键,可以用矩阵式4x4键盘仅用8个口来实现,这样可以节省i/o口,不过需要对各个键盘进行动态扫描。另外,还需要一个口作为输入大于8位时的报警信号。这样,还会剩余一些个i/o口。小于at89c51的32个口,因此不需要进行i/o口的扩展。(2)语言的选择根据设计要求需完成加减乘除的算术运算,若使用汇编语言来编程,语句可能会较多而且比较复杂,编起来会不大方便。而采用现在比较流行的c语言来编写会比较方便。2.3 硬件系统设计2.3.1时钟电路设计本设计采用内部时钟产生方式。内部振荡方式所得的时钟信号比较稳定。在xtal1和xtal2两端跨接晶体或陶瓷谐振器,与内部反相器构成稳定的自激振荡器。晶振选用的是12mhz的内部振荡方式,电路如图:电容器c1,c2起稳定振荡频率,快速起振的作用,电容值取33p。 图2-1 内部振荡结构图2.3.2复位电路采用上电+按键复位电路如下,上电后,由于电容充电,使rst持续一段高电平时间。当单片机已在运行之中时,按下复位键也能使用使rst持续一段时间的高电平,从而实现上电加开关复位的操作。c3选择30uf,r2选择10k。这不仅能使单片机复位,而且还能使单片机的外围芯片也同时复位。图2-2 复位电路图2.3.3显示驱动电路数码管发光需要一定的电流,但单片机本身不可能提供足够的电流,即拉电流和灌电流不能满足要求,所以想要数码管正常发光,就要加适当的驱动电路。首先考虑数码管的段码输出端,单片机的p0口可带8个ttl负载,而其他的i/o口只能带4个ttl负载,所以采用p0口作数码管的段码输出端,但p0口是集电极开路输出,要想输出拉电流,必须加上拉电阻,提高驱动能力,电路如图所示。图2-3 显示驱动电路图2.3.4蜂鸣器提示在89s52的p3.6连一个蜂鸣器,在输入8位数后,再输入数时,从p3.6脚输入一低电平,并延时一定时间,蜂鸣器发出一“嘀”的声音,提醒使用者输入也达8位数。为限制电流,在电路中加一限流电阻。图2-4 蜂鸣器电路图第三节 软件设计3.1键盘的扫描所有列键盘送高电平逐行送底电平进行扫描是达姆否达姆进行按键处理否达姆是达姆某列值为低电平该列值仍为低电平开始延时 图3-1 键盘扫描流程图对键盘的识别可以对键盘所有列送高电平1,然后逐行送低电平,并判断列的值是否全为高电平,若不是说明该行有键按下,为了消除抖动,需要进行一延迟环节,再判断,确实有键按下后,可以根据该行不同的值用选择分支语句进行相应的操作。3.2按键的处理数值处理存储并等待第二个操作数否否显示并存储数据运算并显示清零清零键 为零第一个按键操作数有有效键按下等于键清零是是是是否否否图3-2 键盘处理流程图当有有效键按下后,需要对它进行一系列判断和处理。3.3 动态数码显示数码管采取的动态扫描,每一位数码管被点亮一小段时间,并且每只有一个被点亮次,就又熄灭,但当扫描频率大于一定时,人眼就不能分辨出闪烁的现象,看起来就像一直被点亮,若扫描频率太低,就会出现闪烁现象。第四节 proteus软件仿真4.1 proteus isis简介proteus的isis是一款labcenter出品的电路分析实物仿真系统,可仿真各种电路和ic,并支持单片机,元件库齐全,使用方便,是不可多得的专业的单片机软件仿真系统。该软件的特点: 全部满足我们提出的单片机软件仿真系统的标准,并在同类产品中具有明显的优势。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、rs一232动态仿真、1 c调试器、spi调试器、键盘和lcd系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 目前支持的单片机类型有:68000系列、8051系列、avr系列、pic12系列、pic16系列、pic18系列、z80系列、hc11系列以及各种外围芯片。 支持大量的存储器和外围芯片。总之该软件是一款集单片机和spice分析于一身的仿真软件,功能极其强大 ,可仿真51、avr、pic。4.2 proteus工作过程单击屏幕左下方的“开始”“程序”“proteus 7 professional” “isis 7 professional”,出现如图所示屏幕,表明进入proteus isis集成环境。图4-1 proteus界面图4.2.1加法演示结果在键盘上依次按下如图所示:图4-2-1 按111led显示图按下“+”号键后屏幕显示如图所示:图4-2-2 按“+”号led显示图在键盘上依次按下111 led显示如图所示:图4-2-3 按111led显示图按下“=”号键后屏幕显示正确结果222如图所示:图4-2-4 按“=”号111led显示图4.2.2减法演示结果在键盘上依次按下87led显示如图所示:图4-2-5 按下87led显示图按下“-”号键后屏幕显示如图所示:图4-2-6 按“-”号led显示图在键盘上依次按下32 led显示如图所示:图4-2-7 按下32led显示图按下“=”号键后屏幕显示正确结果55如图所示:图4-2-8 按“=”号led显示图4.2.3乘法演示结果在键盘上依次按下35led显示如图所示:图4-2-9 按下35led显示图按下“”号键后屏幕显示如图所示:图4-2-10 按 “x”号led显示图在键盘上依次按下12led显示如图所示:图4-2-11 按下12led显示图按下“=”号键后屏幕显示正确结果420如图所示:图4-2-12 按“=”号led显示图4.2.4除法演示结果在键盘上依次按下62led显示如图所示:图4-2-13 按下62led显示图按下“”号键后屏幕显示如图所示:图4-2-14 按“”号led显示图在键盘上依次按下2led显示如图所示:图4-2-15 按下2led显示图按下“=”号键后屏幕显示正确结果31如图所示:图4-2-16 按“=”号led显示图系统具体代码如下:#include#includesbit p1_0=p10;sbit p3_5=p35;sbit p3_6=p36;sbit p3_7=p37;sbit p3_4=p34;unsigned int num0,result;unsigned int number,num1;unsigned char code dispcode=0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00;unsigned char code dispbitcode=0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f;unsigned char dispbuf8=0,16,16,16,16,16,16,16;unsigned char disp8=0,16,16,16,16,16,16,16;unsigned char dispbitcount;unsigned char temp;unsigned char i,j;unsigned char key;unsigned char keypres;unsigned char yunsuanfu; /*运算标志符*/bit alarmflag; /*溢出报警标识符*/bit eq; void rresult() /*拆分result并送入显示数组*/ i=0;number=result; if(number0) alarmflag=1; else for(i=0;i0) for(i=0;icount-1;i+) *(p+count-1)=(*(p+count-1)*10; num0+=*(p+count-1); count-; return(num0);/*/void reset(void) for(i=0;i0) *(p+count)=*(p+count-1); count-; dispbuf0=key; /*中断动态显示led*/void t0(void) interrupt 1 th0=(65536-4000) / 256; tl0=(65536-4000) % 256; p2=dispbitcodedispbitcount; p0=dispcodedispbufdispbitcount; dispbitcount+; if (dispbitcount=8) dispbitcount=0; if (alarmflag=1) for(i=0;i50;i+) p1_0=p1_0; for(j=0;j0;i-) for(j=100;j0;j-); temp=p3; temp=temp & 0x0f; if (temp!=0x0f) temp=p3; temp=temp & 0x0f; switch(temp) case 0x0e: key=7; break; case 0x0d: key=8; break; case 0x0b: key=9; break; case 0x07: key=10; break; /*加法*/ if (key=10) yunsuanfu=1; result=num1process(dispbuf,keypres); reset(); if (key=7&key10) keypres+; if(keypres=1) dispbuf0=key; else if(keypres8) alarmflag=1; temp=p3; temp=temp & 0x0f; while(temp!=0x0f) temp=p3; temp=temp & 0x0f; p3=0xff; /*扫描2*/ p3_5=0; temp=p3; temp=temp & 0x0f; if (temp!=0x0f) for(i=50;i0;i-) for(j=100;j0;j-); temp=p3; temp=temp & 0x0f; if (temp!=0x0f) temp=p3; temp=temp & 0x0f; switch(temp) case 0x0e: key=4; break; case 0x0d: key=5; break; case 0x0b: key=6; break; case 0x07: key=11; break; if(key=11) /*减法*/ yunsuanfu=2; result=num1process(dispbuf,keypres); reset(); if (key=4) & (key7) keypres+; if(keypres=1) dispbuf0=key; else if(keypres8) alarmflag=1; temp=p3; temp=temp & 0x0f; while(temp!=0x0f) temp=p3; temp=temp & 0x0f; p3=0xff; /*扫描3*/ p3_6=0; temp=p3; temp=temp & 0x0f; if (temp!=0x0f) for(i=50;i0;i-) for(j=100;j0;j-); temp=p3; temp=temp & 0x0f; if (temp!=0x0f) temp=p3; temp=temp & 0x0f; switch(temp) case 0x0e: key=1;break; case 0x0d: key=2; break; case 0x0b: key=3; break; case 0x07: key=12;break; /*乘法*/ if(key=12)yunsuanfu=3; result=num1process(dispbuf,keypres); reset(); if (key=1) & (key4) keypres+; if(keypres=1) dispbuf0=key; else if(keypres=9) alarmflag=1; temp=p3; temp=temp & 0x0f; while(temp!=0x0f) temp=p3; temp=temp & 0x0f; p3=0xff; /*扫描4*/ p3_7=0; temp=p3; temp=temp & 0x0f; if (temp!=0x0f) for(i=50;i0;i-) for(j=100;j0;j-); temp=p3; temp=temp & 0x0f; if (temp!=0x0f) temp=p3; temp=temp & 0x0f; switch(temp) case 0x0e: key=0; break; case 0x0d: key=13; break; case 0x0b: key=14; break; case 0x07: key=15; break; if(key=15) /*除法*/ yunsuanfu=4; result=num1process(dispbuf,keypres); reset(); if(key=14) reset(); eq=0;if(key=13) if(eq!=1) num1=num1process(dispbuf,keypres); reset(); calcul(); rresult(); if(key=0&keypres!=0) keypres+;

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论