基于vhdl四路智能抢答器设计说明书.docx_第1页
基于vhdl四路智能抢答器设计说明书.docx_第2页
基于vhdl四路智能抢答器设计说明书.docx_第3页
基于vhdl四路智能抢答器设计说明书.docx_第4页
基于vhdl四路智能抢答器设计说明书.docx_第5页
已阅读5页,还剩36页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

目录引言01.系统的设计要求12.系统分析22.1 系统构成22.2 系统实现过程23.具体模块设计33.1 鉴别功能33.2 锁存功能33.3 转换功能33.4 三选一功能33.5 倒计时功能33.6 片选功能43.7 显示功能43.8 整体结构电路图44.程序设计54.1鉴别模块代码54.2 锁存器模块源代码54.3 模块源代码64.4 三选一模块源代码64.5 倒计时模块源代码74.6 片选模块源代码84.7 显示模块源代码84.8 顶层文件源代码95.运行结果与分析106.结束语13参考文献14引言 随着现代社会的发展,抢答器被广泛的运用于很多的娱乐节目,其作用也是越来越大。近年来,随着社会的迅猛发展,抢答器得到了广泛的运用。很多的电视台都会播放一些娱乐节目,在节目过程中都会添加一些娱乐节目,尤其是互动性的竞争游戏,这样都会使用到抢答器,这样使得对抢答器的要求也越来越高,当然也更进一步体现了抢答器的价值。 结合这种的背景,结合一些娱乐节目的实际情况,并发出真正适合我们特点的智能显示控制系统已经成为当前的主要任务,智能控制的效果能直接影响到大家对此娱乐节目的认可,同时好的智能控制也能为大家带来很多方便。通过对抢答器基本原理的了解,我们可以设计多路抢答器,让节目可以有更多得人参与。同时我们可以全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 1.系统的设计要求四路抢答器由鉴别模块、锁存器模块、转换模块、三选一模块、倒计时模块、片选模块和显示模块等模块的电路组成,显示电路、锁存器、转换电路将抢中选手的编号显示输出;主持人开关启动和鉴别电路;答题限时即倒计时电路,实现答题时间结束和答题完成报警。基于FPGA,经过程序设计、调试、仿真、下载和软硬件联合调试等工作,实现了抢答功能。1.设计一个四路智力抢答器,同时供4个选手参赛,编号分别为1到4。每位选手用一个答题按钮。2.给主持人一个控制开关,实现系统的清零和抢答的开始。3.具有数据锁存和显示功能。抢答开始后,如果有选手按下了抢答按钮,其编号立即锁存并显示在LED数码管上,同是扬声器报警。此外,禁止其他选手再次抢答。选手的编号一直保存直到主持人清除。扩展功能:1.具有定时抢答功能,可由主持人设定抢答时间。当抢答开始后。定时其开始倒计时,并显示在LED上,同时扬声器发声提醒、2.选手在规定时间内抢答有效,停止倒计时,并讲倒计时时间显示在LED上,同时报警3.在规定时间内,无人抢答时,电路报警提醒主持人,此后的抢答按键无效。4.选手抢中后,开始答题。规定答题时间为:10s,在规定的时间内,选手答完题,手动报警。若在规定时间内,未完成答题,报警提示。答题时,显示答题剩余时间。 5.报警时间定为:100ms。 2.系统分析 2.1 系统构成顶层文件QDQdaojishi模块sanxuanyi模块pianxuan模块xianshi模块jianbie模块suocunqi模块zhuanhuan模块图1智能抢答器功能模块划分图该智能抢答器分为七个模块,分别为:鉴别模块、锁存器模块、转换模块、三选一模块、倒计时模块、片选模块和显示模块。2.2 系统实现过程1.具有定时抢答功能,可由主持人设定抢答时间。当抢答开始后。定时其开始倒计时,并显示在LED上,同时扬声器发声提醒、2.选手在规定时间内抢答有效,停止倒计时,并讲倒计时时间显示在LED上,同时报警3.在规定时间内,无人抢答时,电路报警提醒主持人,此后的抢答按键无效。4.选手抢中后,开始答题。规定答题时间为:10s,在规定的时间内,选手答完题,手动报警。若在规定时间内,未完成答题,报警提示。答题时,显示答题剩余时间。5.报警时间定为:100ms。3.具体模块设计3.1 鉴别功能鉴别模块jianbie如图2所示,输入信号CLK和CLR,若CLR=“0”,表示无人按键,输出信号Q为0;若CLR=“1”,表示有人按键,输出信号Q为1。3.2 锁存功能 锁存器模块suocunqi如图3所示,锁存器对四位答题者的结果进行锁存,并将其赋给输出信号Q1,Q2,Q3,Q4。3.3 转换功能 转换模块zhuanhuan如图4所示,把抢答结果转化为二进制数。3.4 三选一功能 三选一模块sanxuanyi如图5所示,用三位二进制数分别表示抢答者的号数及其倒计时,输出信号Q。3.5 倒计时功能 倒计时模块daojishi如图6所示,用两个四位二进制数表示倒计时,定义变量HH,LL,由时钟CLK和使能信号EN控制。当HH=0,LL=0时,发出声音停止计时,输出H(XXX),L(XXX)。3.6 片选功能 片选模块pianxuan如图7所示,对三个七段数码管进行选择。3.7 显示功能 显示模块xianshi如图8所示,将所有进程中的数值转换成七位二进制数。 图2 jianbie模块 图3 suocunqi模块 图4 zhuanhuan模块 图5 sanxuanyi模块 图6 daojishi模块 图7 pianxuan模块 图8 xiandhi模块 3.8 整体结构电路图4.程序设计4.1鉴别模块代码LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY jianbie ISPORT(CLK,CLR:IN STD_LOGIC; Q :OUT STD_LOGIC);END jianbie;ARCHITECTURE jianbie_mk OF jianbie IS BEGINPROCESS(CLK,CLR)BEGINIF CLR=0THEN -利用IF_THEN_ELSE语句 Q=0;ELSIF CLKEVENT AND CLK=0THEN -边缘检测信号为低电平 Q=1;END IF;END PROCESS;END jianbie_mk;4.2 锁存器模块源代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY suocunqi ISPORT(D1,D2,D3,D4:IN STD_LOGIC; -输入端口定义4个变量 CLK,CLR:IN STD_LOGIC; Q1,Q2,Q3,Q4,ALM:OUT STD_LOGIC);END suocunqi;ARCHITECTURE suocunqi_mk OF suocunqi ISBEGIN PROCESS(CLK) BEGINIF CLR=0THEN Q1=0; Q2=0; Q3=0; Q4=0; ALM=0; ELSIF CLKEVENT AND CLK=1THEN -检测为高电平,则有人抢答 Q1=D1; Q2=D2; Q3=D3; Q4=D4; ALMQQQQQQQQQ=1111;END CASE;END PROCESS; END sanxuanyi_mk;4.5 倒计时模块源代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY daojishi ISPORT(CLK,EN:IN STD_LOGIC; H,L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); SOUND:OUT STD_LOGIC);END daojishi;ARCHITECTURE daojishi_mk OF daojishi ISBEGINPROCESS(CLK,EN)VARIABLE HH,LL:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF CLKEVENT AND CLK=1THENIF EN=1THENIF LL=0 AND HH=0 THEN SOUND=1;ELSIF LL=0 THEN LL:=1001; HH:=HH-1; ELSE LL:=LL-1;END IF;ELSE SOUND=0; HH:=1001; LL:=1001;END IF;END IF;H=HH;L=LL;END PROCESS;END daojishi_mk;4.6 片选模块源代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY pianxuan IS PORT(CLK:IN STD_LOGIC; A :OUT INTEGER RANGE 0 TO 7); END pianxuan;ARCHITECTURE pianxuan_mk OF pianxuan IS BEGIN PROCESS(CLK) VARIABLE AA:INTEGER RANGE 0 TO 7; -定义标准逻辑位矢量类型 BEGIN IF CLKEVENT AND CLK=1THEN -利用IF_THEN语句 AA:=AA+1; END IF; AQQQQQQQQQQQD1,D2=D2,D3=D3,D4=D4,CLK=C,CLR=CLR, Q1=A1,Q2=A2,Q3=A3,Q4=A4,AlM=D);U2:pianxuan PORT MAP( CLK=CLK,A=SEL);U3:jianbie PORT MAP ( CLK=CLK,CLR=CLR,Q=C);U4:daojishi PORT MAP (CLK=CLK,L=B1,H=B2,SOUND=SOUND,EN=D);U5:zhuanhuan PORT MAP(D1=A1,D2=A2,D3=A3,D4=A4,Q=E);U6:sanxuanyi PORT MAP(SEL=M,D1=B1,D2=B2,D3=E,Q=F);U7: xianshi PORT MAP(D=F,Q=Q);END DCWJ;5.运行结果与分析(1)鉴别模块:图9鉴别模块仿真波形图给CLK一个时钟信号,在某一时刻开始赋给CLR一个高电平,则从下一个时钟下降沿开始Q输出高电平。 (2)锁存器模块: 图10锁存器模块仿真波形图给CLK一个时钟信号,在某一时刻开始赋给CLR一个高电平,则从下一个时钟上升沿开始:将D1赋给Q1、将D2赋给Q2、将D3赋给Q3、将D4赋给Q4,并输出ALM;在CLR为低电平时无输出。(3)转换模块:图11转换模块仿真波形图通过此模块将D1D2D3D4的输入结果转换成Q1Q2Q3Q4这种四位二进制数。(4)三选一模块: 图12三选一模块仿真波形图由SEL控制Q的输出,当SEL为“000”时将D1赋给Q;当SEL为“001”时将D2赋给Q;当SEL为“111”时将D3赋给Q;其他情况将“1111赋给Q。(5)倒计时模块: 图13倒计时模块仿真波形图给CLK一个时钟信号,当EN为“1”且H、L都为“0000”时从这个时钟上升沿开始有声音输出;当H、L不为“0000”时,即使有EN为“1”也没有声音输出。当EN为“0”进行倒计时。(6)片选模块:图14片选模块仿真波形图给CLK一个时钟信号,当处于时钟上升沿时输出信号a。(7)显示模块:图15显示模块仿真波形图将输入信号D转变成能在七段数码管上显示的七位二进制数。(8)顶层文件:图16顶层文件仿真波形图6.结束语 本四路抢答器基于FPGA,经过程序设计、调试、仿真、下载和软硬件联合调试等工作,实现了抢答功能。本抢答器由鉴别模块、锁存器模块、转换模块、三选一模块、倒计时模块、片选模块和显示模块等模块的电路组成,显示电路、锁存器、转换电路将抢中选手的编号显示输出;主持人开关启动和鉴别电路;答题限时即倒计时电路,实现答题时间结束和答题完成报警。同时此实验还存在一些有待改进的地方,比如可以添加另外一部分的显示功能,用于显示选手的得分,或者每位选手前面都添加一个显示各自分数的显示器。参考文献 1.侯伯亭,顾新. VHDL 硬件描述语言与数字逻辑电路设计. 西安:西安电子科技大学出版社,1999. 2.EDA技术与VHDL。潘松,黄继业编著。4版。北京:清华大学出版社,2013.4 3.王金明 .数字系统设计VHDL 北京:电子工业出版设,2010。1316大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。沈阳理工大学课程设计专用纸2、论文书写规定2.1 论文正文字数理工类 论文正文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款1.1.1.1 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文类参考文献序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年”。学术刊物文献无卷号的可略去此项,直接写“年,(期)”。参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。参考文献书写格式示例见附录1。2.7 名词术语科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。文管类专业技术术语应为常见、常用的名词。采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。2.8 计量单位物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB310031021993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位第一个字母用大写之外,一律用小写字母。非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万tkm”,“t/(人a)”等。文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”。计量单位符号一律用正体。2.9 外文字母的正、斜体用法按照GB310031021986及GB71591987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。2.10 数字按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。2.11 公式原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)”。公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。2.12 插表表格不加左、右边线。表序一般按章编排,如第1章第一个插表的序号为“表11”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4)。表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录4中的例2)。表中数据应正确无误,书写清楚。数字空缺的格内加“”字线(占2个数字宽度)。表内文字和数字上、下或左、右相同时,不允许用“”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2)。表内文字说明不加标点。文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指标的计算方法等。表内文字说明,起行空一格,转行顶格,句末不加标点。表题用五号字,表内文字及表的说明文字均用五号字,中文用宋体。表格容量较大,必要时表格也可分为两段或多段(这只能发生在转页时),转页分段后的每一续表的表头都应重新排字,重排表头的续表上方右侧应注明(续表)字样。2.13 插图插图应与文字紧密配合,文图相符,技术内容正确。2.13.1 制图标准插图应符合技术制图及相应专业制图的规定。机械工程图:采用第一角投影法,应符合附录5所列有关标准的规定。电气图:图形符号、文字符号等应符合附录6所列有关标准的规定。流程图:符合国家标准。对无规定符号的图形应采用该行业的常用画法。2.13.2 图题及图中说明每个图均应有图题(由图号和图名组成)。图号按章编排,如第1章第一图的图号为“图1-1”等。图题置于图下。有图注或其他说明时应置于图题之上。图名在图号之后空一格排写。引用图应说明出处,在图题右上角加引用文献编号。图中若有分图时,分图号用a)、b)等置于分图之下。图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图题之上(有分图题者,置于分图题之上)。图题用五号字,图内文字及说明均用五号字,中文用宋体。2.13.3 插图编排插图与其图题为一个整体,不得拆开排写于两页。插图应编排在正文提及之后,插图处的该页空白不够排写该图整体时,则可将其后文字部分提前排写,将图移到次页最前面。2.13.4 坐标单位有数字标注的坐标图,除无单位者(如标示值)之外,必须注明坐标单位。2.13.5 论文中照片图及插图毕业论文中的照片图均应是原版照片粘贴(或数码像机图片),照片可为黑白或彩色,应主题突出、层次分明、清晰整洁、反差适中。照片采用光面相纸,不宜用布纹相纸。对金相显微组织照片必须注明放大倍数。毕业论文中的插图不得采用复印件。对于复杂的引用图,可采用数字化仪表输入计算机打印出来的图稿。2.14 附录理工类论文附录的序号采用“附录1”、“附录2”等,附录顺序为开题报告、文献综述、外文文献的中文译文及外文复印件等。文管类论文附录序号相应采用“附录一”、“附录二”等。3、论文排版要求3.1 纸张要求及页面设置名称格式要求纸张B5(182257),幅面白色页面设置上下页边距2.5cm和2cm,左右页边距2.4 cm和2cm,页眉、页脚分别为1.8cm和1.7cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格页眉宋体字五号居中页码宋体字小五号居中3.2 封面(详见模版、B5纸单面打印)名称格式要求本科毕业设计/论文宋体字小二号,行距固定值25磅 ,间距段前、段后分别为0.5行,要求字体居中论文题目黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文字数不得超过25字,要求字体居中填写姓名宋体字小三号, 行距固定值20磅 , 间距段前、段后分别为0.5行,要求字体居中大学楷体字小二号,行距固定值20磅, 间距段前、段后分别为0.5行。每字间空1格,要求字体居中年 月宋体字小三号,行距固定值20磅, 间距段前、段后分别为0.5行。数字用阿拉伯数字,日期为论文提交日期,要求字体居中3.3 封面2(详见模版、B5纸单面打印)名称格式要求本科毕业设计/论文宋体字小二号,行距固定值25磅,间距段前、段后分别为0.5行,要求字体居中论文题目黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文字数不得超过25字,要求字体居中学院(系)宋体字四号,行距固定值20磅,间距段前、段后分别为0.5行,字体左对齐专业同上学生姓名同上学号同上指导教师同上答辩日期同上3.4 本科毕业设计/论文 任务书(单面打印)本科毕业设计/论文B5纸,单面打印,不编页码3.5 中、英文摘要名称中文摘要英文摘要标题摘要:黑体字小二居中,行距固定值20磅,间距段前、段后分别为1行Abstract: Times New Roman体小二号居中,行距固定值20磅,间距段前、段后分别为1行段落文字宋体字小四号,行距固定值20磅Times New Roman体小四号,行距固定值20磅关键词同上,“关键词”三字加粗同上,“Key Words”两词加粗页码罗马大写数字,Times New Roman体小五号字罗马大写数字,Times New Roman体小五号字3.6 目录名称示例格式要求标题目录黑体字小二号居中,行距固定值20磅,间距段前、段后分别为1行各章目录格式范例黑体字小四号, 行距固定值20磅,两端对齐,页码右对齐节标题目录格式范例宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进2字符条标题目录格式范例宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进3字符(条标题目录文科左缩进2字符)页码格式范例罗马大写数字,Times New Roman 体小五号字3.7 正文名 称示例格式要求理工论文文科论文各章标题 第1章 一、黑体字小二号居中,行距固定值20磅,间距段前、段后分别为1行,理工类章序号与章名间空一个汉字节标题1.1 (一)黑体字小三号,行距固定值20磅,间距段前、段后分别为0.5行,理工类题序与题名间空一个汉字条标题1.1.1 1、黑体字四号,行距固定值20磅,间距段前、段后分别为0.5行,理工类题序与题名间空一个汉字款标题1.1.1.1 (1)黑体字小四号,行距固定值20磅,理工类题序与题名间空一个汉字正文段落文字宋体字小四号,段落首行左缩进2个汉字。行距固定值20磅(段落中有数学

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论