简单的数字时钟(verilog设计).ppt_第1页
简单的数字时钟(verilog设计).ppt_第2页
简单的数字时钟(verilog设计).ppt_第3页
简单的数字时钟(verilog设计).ppt_第4页
简单的数字时钟(verilog设计).ppt_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

简单的数字时钟,小组成员,电子信息工程: huang liang zhang hui cheng long,作品简介,功能一:时钟计时 功能二:调整时间 功能三:闹钟 功能四:整点报时 功能五:流水指示灯,按键分布,进入调时状态,调时或调闹钟时切换到分位上,进入设置闹钟状态,关闭闹钟,复位键,上调键,下调键,切换看秒/闹钟设置确认,流水指示灯,闹钟提示灯,“闹铃”灯,整点报时灯,设计思路,设计出基本的时钟,添加调时功能,添加闹钟功能,添加整点报时功能,添加流水指示灯,整合优化,一、基本时钟部分,1、分频 计时分频 if(cnt2=28d12499999) cnt2=0; else cnt2=cnt2+1; 数码管显示分频 if(cnt1=24d12499) cnt1=0; else cnt1=cnt1+1;,2、数码管显示 case(count1) 2b00:begin disp=minute1;sl=4b1101; end 2b01:begin disp=minute0;sl=4b1110; end 2b10:begin disp=hour1;sl=4b0111; end 2b11:begin disp=hour0;sl=4b1011; end endcase case(disp) 4b0000:seg=7b1000000; 4b0001:seg=7b1111001; 4b0010:seg=7b0100100; 4b0011:seg=7b0110000; 4b0100:seg=7b0011001; 4b0101:seg=7b0010010; 4b0110:seg=7b0000010; 4b0111:seg=7b1111000; 4b1000:seg=7b0000000; 4b1001:seg=7b0010000; endcase,3、计时 if (second04b1001) second0=second0+4b1; else second0=4b0; if (second14b0101) second1=second1+4b1; else second1=4b0; if (minute04b1001) minute0=minute0+4b1; else minute0=4b0; if (minute14b0101) minute1=minute1+4b1; else minute1=4b0; if (hour12b10) if (hour04b1001) hour0=hour0+4b1; else hour0=4b0; hour1=hour1+2b1; if (hour1=2b10) if (hour04b0011) hour0=hour0+4b1; else hour0=4b0; hour1=2b0;,4、复位归零,if (irst=1b1) begin second0=4b0; second1=4b0; minute1=4b0; minute0=4b0; hour1=2b0; hour0=4b0; ledzs=5b00001; sign=b0; nz=0; nz1=0; lednz=2b0; end,二、调时部分,1、上调: if (ss1=1) begin if (minute04b1001) minute0=minute0+4b1; else begin minute0=4b0; if(minute14b0101) minute1=minute_1+4b1; else minute1=4b0; end end if(ss1=1) begin if (hour12b10) begin if(hour04b1001) hour0=hour0+4b1; else begin hour0=4b0; hour1=hour1+2b1; end end end,2、下调 if (ss2=1) begin if (minute04b0000) minute04b0000) minute12b0) begin if (hour04b0) hour04b0) hour0=hour0-4b1; else begin hour1=4b0010; hour0=4b0011;end end,三、闹钟部分,1、设置闹铃,if (sh=1) begin if (ss1=1) begin if (minute_04b0000) minute_04b0000) minute_1=minute_1-4b1; else minute_1=4b0101;end end end,else begin if(ss1=1) begin if (hour_12b0) begin if (hour_04b0) hour_04b0) hour_0=hour_0-4b1; else begin hour_1=4b0010; hour_0=4b0011;end end end,2、确认,if (miao=1) nz1=1;,3、闹铃,if (nz1=1) begin lednz1=1; if(hour_1=hour1) end,4、关闭闹

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论