(电路与系统专业论文)基于FPGA的高阶FIR滤波器设计[电路与系统专业优秀论文].pdf_第1页
(电路与系统专业论文)基于FPGA的高阶FIR滤波器设计[电路与系统专业优秀论文].pdf_第2页
(电路与系统专业论文)基于FPGA的高阶FIR滤波器设计[电路与系统专业优秀论文].pdf_第3页
(电路与系统专业论文)基于FPGA的高阶FIR滤波器设计[电路与系统专业优秀论文].pdf_第4页
(电路与系统专业论文)基于FPGA的高阶FIR滤波器设计[电路与系统专业优秀论文].pdf_第5页
已阅读5页,还剩63页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

硕士论文基于f p g a 的高阶f i r 滤波器设计 摘要 随着科技的发展,电子电路的设计j 下逐渐摆脱传统的设计模式,而采用f p g a 来设 计电子电路正成为设计的趋势,因为采用f p g a 设计电子电路不仅开发时间短,资金投 入相对少,而且可以将电路板产品集成为芯片级产品。 在现代电子系统中,f i r 数字滤波器以其良好的线性特性被广泛使用。在工程实践 中,对信号处理往往要求具有实时性和灵活性,而传统的实现方式难以同时满足这两方 面的要求,采用f p g a 来实现f i r 滤波器,既可以满足实时性,又能兼顾到一定的灵活 性,所以越来越多的人采用f p g a 来实现f i r 滤波器。 本文以数字信号处理系统的实现为应用背景,着重研究基于f p g a 的f i r 数字滤波 器的实现方法。本论文主要做下述三方面的工作: 1 以f i r 数字滤波器的基本理论为依据,使用乘累加、并行乘法器结构和分布式 算法为滤波器的硬件实现算法,并对这三种算法进行详细的讨论且比较它们的优缺点。 针对乘累加算法实现的乘法器规模庞大,本文采用系数分解、最佳c s d 编码算法和简 化加法器图的方法;针对分布式算法中查找表规模过大的缺点,本文采用多块查找表方 法,从而减小了硬件规模。 2 在设计中采用层次化,模块化的思想,将整个滤波器划分成多个功能模块,然 后利用v e r i l o gh d l 硬件描述语言进行各个模块的设计,最终完成f i r 数字滤波器的系 统设计。 3 最后给出在a l t e r a 公司的s t r a t i xf p g a 硬件平台上实现一个1 2 8 阶的f i r 低通 数字滤波器的设计实例,对这个设计实例用m o d e l s i m 软件进行了仿真,并用m a t l a b 对仿真结果进行了分析。 关键词:f p g a ,f i r ,数字滤波器,分布式算法,查找表,c s d 编码 a b s t r a c t 硕七论文 a b s t r a c t w i t ht h ed e v e l o p m e n to ft h et e c h n o l o g y , t h ed e s i g no ft h ee l e c t r o n i cc i r c u i ti sg e t t i n gr i d o ft r a d i t i o n a lp a t t e r n ,w h i l eu s i n gf p g at od e s i g ne l e c t r o n i cc i r c u i t si sb e c o m i n gt h et r e n do f d e s i g n b e c a u s eu s i n gf p g a t od e s i g ne l e c t r o n i cc i r c u i th a v et h ea d v a n t a g eo fs h o r tt i m ea n d r e l a t i v el e s sf u n d ,a n dc a nm a k ep r o d u c ta se l e c t r o n i cc i r c u i tt op r o d u c ta sc h i p i nt h em o d e r ne l e c t r i c a l s y s t e m ,t h ef i rd i g i t a l f i l t e ri su s e df o rm a n yp r a c t i c a l a p p l i c a t i o n sf o ri t sg o o dl i n e a rp h a s ec h a r a c t e r i ne n g i n e e r i n gp r a c t i c e ,t h e r ei sa l w a y sa r e a l t i m ea n df l e x i b l er e q u i r e m e n tf o rs i g n a lp r o c e s s i n g h o w e v e r , t r a d i t i o n a lp a t t e r na r e d i f f i c u l tt om e e tt h ed e m a n df o rt h et w oa s p e c t si nt h es a m et i m e m o r ea n dm o r ep e o p l eu s e f p g at oi m p l e m e n tf i rf i l t e r , a si tn o to n l ym e e tt h er e a l - t i m er e q u i r e m e n t ,b u ta l s oh a s s o m ef l e x i b i l i t y t h i sp a p e rf o c u s e so nt h ei m p l e m e n t a t i o nt e c h n o l o g yo fd i g i t a lf i l t e r sb a s e do nf p g a , w i t l lt h eb a c k g r o u n do ft h ei m p l e m e n t a t i o n so fd i g i t a ls i g n a lp r o c e s s i n gs y s t e m i tc o n t a i n s t h r e em a i np a r t s : 1 a c c o r d i n g t o t h e b a s i ct h e o r yo f f i rf i l t e r s ,t h r e es c h e m e s o fh a r d w a r e i m p l e m e n t a t i o na lew o r k e do u tu s i n gm a c ,p a r a l l e lm u l t i p l i e ra n dd i s t r i b u t e da r i t h m e t i c a l g o r i t h m a st h es c a l eo fm u l t i p l i e ri nt h em a ca l g o r i t h mi ss ol a r g e ,t h et h e s i sr e d u c e si t w i n lt h eu s eo fc a n o n i cs i g n e dd i g i tc o d i n ga n da st h es c a l eo fl u ti nt h ed i s t r i b u t e d a r i t h m e t i ca l g o r i t h mi ss ol a r g e ,t h et h e s i sr e d u c e si tw i n lt h eu s eo fm u l t i p l ec o e f f i c i e n t m e m o r y b a n k s 2 f r o mt h ec l e wo fi m p l e m e n t i n gas t r a t i f i e d ,m o d u l a rd e s i g n ,t h et h e s i sd e s c r i b e st h e h a r d w a r ed e s i g no fa l lf u n c t i o n a lm o d u l e sa n dt h ef i rs y s t e mw i t ht h ev e r i l o gh d l 3 a tl a s t , ao n e - h u n d r e d - t w e n t y - e i g h tt a p p e dl o w - p a s sf i rf i l t e rb a s e do na l t e r a s s t r a t i xi st a k e na sa l le x a m p l e ,a n dt h es i m u l a t i o nd e s i g nc a r r i e do u tu s i n gm o d e l s i m t h e r e s u l to ft h es i m u l a t i o ni sa n a l y z e d 、i mt h eu s eo fm a t l a b k e yw o r d :f p g a ,f i r ,d i g i t a lf i l t e r ,d i s t r i b u t e d a r i t h m e t i c ,l o o ku pt a b l e ,c a n o n i c s i g n e dd i g i tc o d i n g i i 图表目录硕士论文 图表目录 表2 3 1 1s t r a t i xf p g a 系列6 图2 3 2 1s t r a t i x 器件的平面布局示意图( e p l s 2 5 ) 7 图2 4 1 完整的f p g a 设计流程“9 图3 4 1 直接型结构的f i r 滤波器1 5 图3 4 2 转置结构的f i r 滤波器1 6 图3 4 3 线性相位f i r 滤波器结构1 7 表3 5 1 窗函数性能指标比较1 9 图4 2 1f i r 滤波器设计流程。2 2 图4 3 1f d a t 0 0 1 界面示意图2 3 图4 4 1 滤波器的总体结构框图2 5 图4 5 1 基于乘累加结构的f i r 滤波器硬件结构2 6 图4 6 1 基于并行乘法器f i r 滤波器结构2 7 图4 7 2 1 传统的乘累加结构实现的滤波器示意图3 0 图4 7 2 2d a 算法直观实现图3 0 图4 7 2 3 全并行d a 算法实现结构图一3 1 图4 7 3 1 改进d a 算法实现结构图3 3 表5 1 1 1 半加器真值表3 6 图5 1 1 1 半加器符号示意图j 3 6 表5 1 2 1 全加器真值表3 7 图5 1 2 1 全加器符号示意图3 7 图5 1 3 14 b i t 行波进位加法器结构图3 7 图5 1 4 14 b i t 超前进位加法器r t l 视图3 8 图5 1 5 18 b i t 流水线加法器结构框图3 9 图5 1 6 1 进位保留加法器示意图4 0 图5 1 6 2 级联c s a 结构示意图4 0 图5 2 1 1 二叉树结构示意图4 0 图5 2 2 1 多比特7 输入w a l l a c e 树结构示意图“4 l 图5 2 3 1l 比特7 输入的w a l l a c e 树的示意图4 2 图5 3 2 14 b i t 加法器树乘法器结构图4 4 图5 4 1 1 常系数2 3 1 的系数分解结构图。4 5 图5 4 3 1 常系数1 5 5 与9 3 的简化加法器图4 7 图6 1 系数量化后的f i r 低通数字滤波器的幅频响应示意图4 9 v i 硕士论文基于f p g a 的高阶f i r 滤波器设计 表6 2 2 1 滤波器性能分析表5 0 图6 2 3 1 基于乘累加结构的f i r 滤波器的部分仿真输出结果图5 0 图6 2 3 2 卷积结果和滤波器输出结果示意图5 1 表6 3 2 1 滤波器性能分析表5 2 图6 3 - 3 1 基于并行乘法器结构的f i r 滤波器部分输出结果示意图5 3 图6 3 3 2 卷积结果和滤波器输出结果示意图5 3 图6 4 2 1 滤波器的r t l 级视图5 5 表6 4 2 1 滤波器性能分析表5 5 图6 4 3 1 基于分布式算法结构的f i r 滤波器部分输出结果示意图一5 6 图6 4 3 2 卷积结果和滤波器输出结果示意图。 5 6 声明 本学位论文是我在导师的指导下取得的研究成果,尽我所知,在本 学位论文中,除了加以标注和致谢的部分外,不包含其他人已经发表或 公布过的研究成果,也不包含我为获得任何教育机构的学位或学历而使 用过的材料。与我一同工作的同事对本学位论文做出的贡献均己在论文 中作了明确的说明。 学位论文使用授权声明 南京理工大学有权保存本学位论文的电子和纸质文档,可以借阅或 上网公布本学位论文的部分或全部内容,可以向有关部门或机构送交并 授权其保存、借阅或上网公布本学位论文的部分或全部内容。对于保密 论文,按保密的有关规定和程序处理。 研究生签名:日 硕十论文基于f p g a 的高阶f i r 滤波器设计 1 绪论 1 1 本课题的研究意义 。滤波技术是信号分析、信号处理技术中的重要分支。无论是信号的获取、传输,还 是信号的处理、转换都离不开滤波技术。滤波技术对信号安全可靠和有效灵活的传递至 关重要。 在电子系统中,由于滤波器的好坏直接影响系统的性能,所以滤波技术已成为备受 关注而热门的课题,滤波器的研制已受到各国研究者越来越多的重视。追溯到上世纪, 1 9 1 7 年美国和德国科学家分别发明了l c 滤波器,1 9 1 8 年美国又发明了第一个多路复用 系统,至5 0 年代无源滤波技术日趋成熟。自6 0 年代起由于微电子技术、信息技术、计 算机技术、集成工艺和材料工业的发展,滤波器朝着低功耗、高精度、小体积、多功能、 高稳定性和廉价方向努力,并成为7 0 年代以后的发展方向。这个时期,r c 有源滤波器、 数字滤波器,开关电容滤波器和电荷转移器等各种滤波器迅速发展,并被单片集成化。 8 0 年代,电子工程师主要致力于各类新型滤波器性能的研究,并逐渐扩大应用范围。9 0 年代至今各类滤波器已被应用于各种产品中。 上世纪5 0 年代后期我国开始广泛使用滤波器,主要应用场合是话路滤波和报路滤 波。经过半个世纪的发展,我国滤波器在研制、生产、应用等方面已纳入国际发展轨道, 但由于缺少专门研制机构,加之集成工艺和材料工业发展步伐的缓慢,使得我国在许多 新型滤波器的研制和应用方面与国外仍有较大的差距。 数字滤波器是对离散时间信号进行滤波处理以得到期望的响应特性的离散时间系 统。数字滤波器一般由寄存器、延时器、加法器和乘法器等基本数字电路来实现。数字 滤波器能满足滤波器对幅度和相位特性的严格要求,避免模拟滤波器所无法克服的电压 漂移、温度漂移和噪声等问题。随着集成电路技术的发展,数字滤波器性能不断提高而 成本却不断降低。数字滤波器在语音信号处理、图像信号处理、医学生物信号处理以及 其他应用领域都得到了广泛应用。随着电子计算机和大规模集成电路技术的发展,数字 滤波器可用计算机软件实现,也可用大规模集成数字硬件实时实现,数字滤波器已具备 了高精度、高可靠性、可程控改变性或复用、便于集成等众多优点。 按照数字滤波器的特性,它可以被分为线性与非线性、因果与非因果、无限长冲激 响应( i i r ) 与有限长冲激响应( f i r ) 等类型。在这些滤波器中,线性时不变的数字滤波器是 最基本的类型。由于数字系统可以对延时器加以利用,因此若在数字滤波器中引入一定 程序的非因果性,就可获得比传统的因果滤波器更灵活强大的特性。相对于i i r 滤波器, f i r 滤波器具有易于实现和系统绝对稳定的优势,因此得到广泛的应用【1 】。 1 绪论硕上论文 1 2 国内外研究现状 f i r 数字滤波器的实现,大体上可以分为软件实现和硬件实现两种。 软件实现方法是在通用的计算机上用软件实现。利用计算机的存储器、运算器和控 制器把滤波所要完成的运算编成程序通过计算机来执行。由于这种方法速度慢,难以对 信号进行实时处理,因而多用于教学与科研。 硬件实现是设计专门的数字滤波电路,如今f i r 滤波器的硬件设计有多种实现方 法。第一种是采用单片机来实现,但单片机的处理速度比较慢。第二种是采用专用的 d s p 芯片,但是d s p 的串行指令执行方式使其速度和效率大打折扣,因此当滤波器的 系数增加或字长增长时,计算时间会大大增加,而且在一些高速的应用中,d s p 的性能 的提高却落后于需求的增加。第三种是采用市场上通用的f i r 滤波器集成电路,但由于 它的通用性,很难满足设计者独特的要求。第四种是采用可编程逻辑器件的方法实现。 可编程逻辑器件在电子技术领域中的应用,为数字硬件电路系统的设计带来了极大的灵 活性。由于可编程逻辑器件可以通过软件编程而对其硬件结构和工作方式进行调整,使 得硬件的设计可以如同软件设计那样方便 2 】。 在f i r 数字滤波器的设计过程中涉及的乘法运算方式有位串行乘法、并行乘法和采 用分布式算法的乘法。 位串行乘法器的实现方法主要是通过对乘法运算进行分解,用加法器来完成乘法的 功能。由于一个8 8 位的乘法器输出为1 6 位,为得到正确的1 6 位结果,串行输入的 二进制补码数要进行符号位扩展,即将串行输入的8 位二进制补码前补8 个o ( 对正数) 或8 个l ( 对负数) 后才输入乘法器。如果每一位的运算需要一个时钟周期的话,则这个 乘法器完成一次运算就需要1 6 个时钟周期。虽然位串行乘法器使乘法器的硬件规模达 到了最小,但由于运算周期过长,在对一些实时性要求较高的场合是不适用的。 并行乘法速度快,但占用的硬件资源极大。随着滤波器阶数的增加,乘法器的位数 也变大,硬件规模将变得十分庞大。 分布式算法利用r o m 或寄存器将固定系数的乘累加运算转移成查找表操作,避免 了乘法运算。同时,查找表后的数据执行的都是简单加法运算,可以较大幅度地提高运 算速度。这种方法是目前比较常用的基于f p g a 设计f i r 滤波器的方法。分布式算法又 分为串行分布式算法、串并结合的分布式算法和并行分布式算法。串行分布式算法其结 构相对简单,占用资源少,但是处理速度吞吐量很高;并行分布式算法结构齐整,利于 流水实现,多用于对速度要求高的场合,但占用资源大:串并结合的分布式算法是串行 分布式算法与并行分布式算法的一个折衷,具体情况不同,效果也不同,缺点是有控制 电路的加入,增加了电路的复杂性【3 1 。 相对于前两种方法,分布式算法既可以全串行实现,又可以全并行实现,还可以串 2 硕士论文基于f p g a 的高阶f i r 滤波器设计 并行结合实现,可以在硬件规模和处理速度之间作出适当的折衷,是现在被研究的主要 方法。 。 1 3 研究思路 1 首先选定要使用的f p g a 芯片。a l t e r a 公司的s t r a t i x 系列f p g a 芯片密度大, 使用频率高,是目前大规模数字逻辑设计的优选产品,是专用数字滤波器设计的理想载 体,而且a l t e r a 公司专用的设计软件q u a r t u si i 使用起来非常方便易用,因此选用它来 进行设计。 2 探讨f i r 数字滤波器的原理、结构和设计方法,使用m a t l a b 进行f i r 滤波器 设计,并对结果进行仿真。 3 数字系统的设计有多种方法,可以采用传统的数字系统设计方法,也可以采用 硬件描述语言的数字系统设计方法。传统的设计方法不适合大规模系统的设计,所以采 用硬件描述语言的数字系统设计方法。研究自顶向下的系统设计方法、硬件描述语言、 综合工具、仿真工具等。 4 深刻理解f i r 数字滤波器实现过程中所使用到的算法,得出用它来实现f i r 滤 波器的硬件结构,对其实现方式进行深入研究,最后在f p g a 器件上实现滤波器的硬件 电路,并用m a t l a b 对结果进行仿真分析。 1 4 本论文的所做的主要工作 本论文探讨了利用f p g a 器件实现f i r 滤波器的研究过程。所做的主要工作如下: 1 学习和研究了实现f i r 数字滤波器的三种主要设计方法,分析了它们各自的优 缺点,针对以速度最快和以硬件规模最小以及在速度和硬件规模均有要求的这三种情 况,对各种方案进行比较。 2 采用了应用硬件描述语言和自顶向下的数字系统设计方法,指出采用硬件描述 语言和自顶向下设计方法的优势,并给出了利用f p g a 进行数字系统设计的设计流程。 采用层次化,模块化的设计思想,将整个滤波器划分成多个功能模块,利用v e r i l o gh d l 硬件描述语言进行各个模块的设计,最终完成f i r 数字滤波器的系统设计。 3 利用a l t e r a 公司的s t r a t i x 系列器件和q u a r t u si i7 0 以及m o d e l s i m6 1s e 软件 对设计进行综合和仿真,并将结果和用m a t l a b 计算的结果进行比较,验证设计的正 确性。 1 5 本论文的结构 本文主要内容分为7 个部分: 3 1 绪论 硕士论文 第1 章为绪论,阐述了课题的背景和意义,主要包括数字滤波器历史和发展以及 f p g a 在f i r 数字滤波器中的应用状况; 第2 章论述了f p g a 技术,并重点介绍a l t e r as t r a t i x 系列f p g a 芯片,以及未来 f p g a 的发展趋势; 第3 章论述了f i r 数字滤波器的原理、设计和实现方法,并着重研究了利用窗函数 实现f i r 滤波器的方法; 第4 章给出了f i r 数字滤波器具体的设计方法,详细说明如何在f p g a 上进行f i r 数字滤波器的设计; 第5 章给出了在f p g a 上实现f i r 数字滤波器时乘法器电路的设计及其优化方法; 第6 章给出了一个用三种结构实现的基于f p g a 的1 2 8 阶的数字低通f i r 滤波器的 的实例,并对相应电路进行了仿真,分析比较了这三种结构的优缺点; 第7 章给出了对本设计的总结。 4 硕十论文基于f p g a 的高阶f i r 滤波器设计 2f p g a 技术及a l t e r as t r a t i xf p g a 2 1 引言 f p g a c p l d 、d s p 和c p u 被称为未来数字电路系统的3 块基石,也是目前硬件设 计研究的热点。与传统电路设计方法相比,f p g a c p l d 具有功能强大,开发过程投资 少、周期短,可反复编程修改,保密性能好,开发工具智能化等特点,特别是随着电子 工艺的不断改进,低成本的f p g a c p l d 器件的性能不断提升;另一方面集成电路技术 飞速发展,最新的工艺水平由9 0 n m 发展到了6 5 n m ,现在4 5 n m 工艺也已开始应用,而 一般a s i c 的流片费用的增长速度非常惊人,并且a s i c 开发周期相对较长,这一切促 使f p g a c p l d 成为当今硬件设计的首选方式之一。近年来,f p g a c p l d 市场应用数 量持续保持高速增长,市场占有份额越来越大1 4 】。 本章主要讨论了f p g a 技术,并重点介绍a l t e r as t r a t i x 系列f p g a 芯片,以及未来 f p g a 的发展趋势。 2 2 可编程逻辑器件简介 可编程逻辑器件( p r o g r a m m a b l el o g i cd e v i c e s ,简称p l d ) 是一种用户根据需要自行 构造逻辑功能的数字集成电路。它的基本设计方法是借助于e d a 软件,用原理图、状 态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后再由编程器或下 载电缆,下载到目标器件中去。 这种利用p l d 内建逻辑结构、由用户配置来实现任何组合逻辑和时序逻辑功能的 器件,最初被用来作为分立逻辑电路和中小规模集成电路的替代物,随着设计技术和制 造工艺的完善,器件性能、集成度、工作频率等性能不断提高,p l d 的应用范围越来越 广,目前它己成为a s i c 设计的主流。 上世纪8 0 年代中期,美国x i l i n x 公司率先推出了现场可编程门阵列( f i e l d p r o g r a m m a b l eg a t ea r r a y ,即f p g a ) 器件,f p g a 器件采用逻辑单元阵列结构,静态随 机存取存储工艺,设计灵活、集成度高、可重复编程,并可现场模拟调试验证。目前, 除x i l i n x 以外,a l t e r a 、a c t e l 、l a t t i c e 等公司也为比较著名的f p g a 产品生产厂商。 f p g a 的最基本结构是查找表( l o o k u pt a b l e ,即l u n ,本质上就是一个r a m 。 目前f p g a 中多使用4 输入的u j t ,所以每一个u j t 可以看成一个有4 位地址线的1 6 1 的r a m 。当用户通过原理图或h d l 语言描述了一个逻辑电路以后,f p g a 软件会 自动计算逻辑电路的所有可能的结果,并把结果事先写入r a m 。这样,每输入一个信 号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可【5 j 。 5 2f p g a 技术及a l t e r as t r a t i xf p g a 硕士论文 在f p g a 中由四输入查找表和触发器组成的可配置逻辑功能块为信号处理提供了 大量可以使用的资源,信号可以通过寄存器或存储器实现流水,大量的逻辑资源能够产 生完全并行的结构,从而使计算能力达到最高。 2 3a l t e r as t r a t i xf p g a 芯片的结构和特点 2 3 1 器件概述 s t r a t i xf p g a 在2 0 0 2 年初推向市场,以突出的性能价格比迅速占领了高端f p g a 市 场。s t r a t i x 器件在结构和工艺上较前一代的a p e x 系列都有较大的提高,增加了许多业 界领先的特性,如d s p 块、三重的r a m 结构、内嵌l v d s 高速电路以及d q s d q 移 相电路实现高速存储器接口等。 s t r a t i xf p g a 采用成熟的1 5 v 、9 层金属直线、0 1 3l ii n 全铜工艺制造,清晰和紧 密的互连线几何结构,保证了s t r a t i x 低缺陷率、低漏电流和高品质。 s t r a t i x 系列f p g a 的特性见表2 3 1 1 【6 】。 表2 3 1 1s t r a t i xf p g a 系列 2 3 2 平面布局和设计原则 s t r a t i xf p g a 的平面布局如图2 3 2 1 1 7 1 所示。 6 硕士论文 基于f p g a 的高阶f i r 滤波器设计 图2 3 2 1s t r a t i x 器件的平面布局示意图( e p l $ 2 5 ) s t r a t i x 器件左右两边( b a n k1 2 5 6 ) 支持调整的l v d s 信号,最高可达8 4 0 m b i t s 的 速率。每个l v d s 的发送或接收通道都有专用的硬件s e r d e s 电路来实现高速的并串 转换,性能可以做得很高,而且不需要占用内部的逻辑资源。同时,支持高速源同步设 计中随路时钟输入的快速锁相环( f a s tp l l ) 同样也都分布在器件的这两边。 器件上下两边( b a n k3 4 7 8 ) 支持相对低速的p c i 总线标准,用于实现外部高速d d r 存储器接口的d q s d q 专用移相电路也分布在这里。另外,增强型锁相环( e n h a n c e dp l l ) 为f p g a 内部提供丰富的全局时钟资源,同时也为外部存储器提供采样时钟【8 】。 对于内部逻辑阵列块( l a b ) 之间的互连资源,相比较而言,横向的互连要比纵向的 快一些。而且器件内部的r a m 块和d s p 块是一列一列地平均分布在芯片当中的,这种 结构非常有利于横向数据通道的高速处理和缓存。 2 3 3 互连线资源、逻辑阵列块( l a b ) 和逻辑单元( l e ) s t r a t i x 器件沿袭a l t e r a f p g a 传统的行列直线的结构,在行列走线之间就是l a b 块、 r a m 块或者d s p 块等功能模块。 逻辑阵列块( l a b ) 内部包含1 0 个逻辑单元( l e ) 。l e 是s t r a t i xf p g a 内部最小的逻 辑组成部分。一个l e 主要是由一个4 输入查找表( l u t ) 和一个可编程触发器,再加上 7 2f p g a 技术及a l t e r as t r a t i xf p g a 硕士论文 一些辅助电路组成。 2 3 4 内嵌r a m 块 在逻辑设计中,常常需要在f p g a 内部缓存一些数据,或者在两个时钟域之间进行 数据的交换。随着设计的日益复杂,r a m 的需求量越来越大,以往f p g a 内单一的r a m 种类已满足不了日益复杂系统的要求。因此,s t r a t i x 器件根据不同应用的需求,设计了 3 种内嵌的r a m 块,分别是m 5 1 2r a m ( 5 1 2 b i tr a m ) ,m 4 k ( 4 k b i tr a m ) 和 m - r a m ( 5 12 k b i tr a m ) 。 2 3 5 时钟网络和锁相环( p l l ) 在s t r a t i xf p g a 中,共有1 6 个内部的全局时钟网络,可以将时钟或其他全局控制 信号( 如全局复位、时钟使能等) 分发到整个芯片。 在s t r a t i xf p g a 中,锁相环分为增强型锁相环( e p l l ) 和快速锁相环( f p l l ) 两种。 e p l l 可以为整个设计提供丰富的时钟资源,它有6 个内部输出时钟,4 个专用的片外 输出时钟。f p l l 同样可以提供内部使用的时钟,而它另一个主要功能是作为高速差分 信号的随路时钟输入,同时输出调整采样时钟和控制信号给内部的源同步接口的 s e r d e s 电路。 2 3 6d s p 块 。 在数字信号处理( d i 西t a ls i g n a lp r o c e s s i n g ) 领域,最常用的功能函数包括有限脉冲响 应滤波器( f i r ) 、无限脉冲响应滤波器( i r a ) 、快速傅立叶变换( f f t ) 等。 虽然这些系统非常复杂,但它们都有相似的功能单元,如乘法器和乘累加器等。 s t r a t i x 中的d s p 块集成了乘、加减累加、求和这几种算术操作,并且在这些计算的路 径中,集成了可选的寄存器级,可以实现高性能的d s p 算法。 2 3 7i o 结构 为了满足系统中越来越多的接口电平要求,s t r a t i xf p g a 的管脚支持业界大部分的 标准接口电平。 2 3 8 高速差分i o 的应用 在s t r a t i xf p g a 中,f p g a 的左右两边( b a n k1 2 5 6 ) 支持高速差分电平,包括l v d s 、 l v p e c l 、h y p e r t r a n s p o r t 和3 3 vp c m l 。每对差分输出或输入都包含高速的并串串并 转换模块,数据率可达到8 4 0 m b i t s ,这些调整的差分接口信号加上快速锁相环的功能, 可以构成源同步的高速并行差分信号组。 8 硕士论文 基于f p g a 的高阶f i r 滤波器设计 2 4f p g a 的设计流程 一般来说,完整的f p g a 设计流程包括电路设计与输入、功能仿真、综合、综合后 仿真、实现、布线后仿真与验证,板级仿真验证与调试等主要步骤,如图2 4 1e 9 1 所示。 图2 4 1 完整的f p g a 设计流程 9 2f p g a 技术及a | t e r as t r a t i xf p g a硕j 二论文 1 电路设计与输入 电路设计与输入是指通过某些规范的描述方式,将工程师的电路构思输入给e d a 工具。常用的设计输入方法有硬件描述语言( h d l ) 和原理图设计输入方法等。原理图设 计输入法在早期应用得比较广泛,它根据设计要求,选用器件,绘制原理图,完成输入 过程。这种方法的优点是直观、便于理解、元器件库资源丰富。但是在大型设计中,这 种方法的可维护性较差,不利于模块构造与重用,更主要的缺点是当所选用的芯片升级 换代后,所有的原理图都要做相应的改动。目前进行大型工程设计时,最常用的设计方 法是h d l 设计输入法,其中影响最为广泛的h d l 语言是v h d l 和v e r i l o gh d l 。它们 的共同特点是利于由顶向下设计,利于模块的划分与复用,可移植性好,通用性好,设 计不因芯片的工艺与结构的不同而变化,更利于向a s i c 移植。 2 功能仿真 电路设计完成后,要用专用的仿真工具对设计进行功能仿真,验证电路功能 是否符合设计要求。功能仿真有时也被称为前仿真。 通过仿真能及时发现设计中的错误,加快设计进度,提高设计的可靠性。 3 综合优化 综合优化( s y n t h e s i z e ) 是指将h d l 语言、原理图等设计输入翻译成由与、或、非门, r a m ,触发器等基本逻辑单元组成的逻辑连接( 网表) ,并根据目标与要求( 约束条件) 优 化所生成的逻辑连接,输出e d f 和e d n 等标准格式的网表文件,供f p g a 厂家的布局布 线器进行实现。 4 综合后仿真 综合完成后为检查综合结果是否与原设计一致,需要做综合后仿真。在仿真时,把 综合生成的标准延时文件反标到综合仿真模型中去,可估计门延时带来的影响。综合后 仿真虽然比功能仿真精确一些,但是只能估计门延时,不能估计线延时,仿真结果与布 线后的实际情况还有一定的差距,并不十分准确。这种仿真的主要目的在于检查综合器 的综合结果是否与设计输入一致。 5 实现与布局布线 综合结果的本质是一些由与、或、非门,触发器,r a m 等逻辑单元组成的逻辑网 表,它与芯片实际的配置情况还有较大差距。此时应该使用f p g a 厂商提供的软件工具, 根据所选芯片的型号,将综合输出的逻辑网表适配到具体的f p g a 器件上,这个过程就 叫做实现过程。 6 时序仿真与验证 将布局布线的时延信息反标注到设计网表中,所进行的仿真就叫时序仿真或布局布 线后仿真,简称后仿真。布局布线之后生成的仿真时延文件包含的时延信息最全,不仅 包含门延时,还包含实际布线延时,所以布线后仿真最准确,能较好地反映芯片的实际 1 0 硕士论文 基于f p g a 的高阶f i r 滤波器设计 工作情况。 1 7 板级仿真与验证 在有些高速设计情况下还需要使用第三方的板级验证工具进行仿真与验证,如 m e n t o rt a u ,f o r t ed e s i g n t i m i n gd e s i g n e r ,m e n t o rh y p e r l y n x ,m e n t o ri c s ,c a d e n c e s p e c c t r a q u e s t 、s y n o p s y sh s p i c e 。这些工具通过对设计的i b i s 、h s p i c e 等模型的 仿真,能较好地分析高速设计的信号完整性、电磁干扰( e m i ) 等电路特性等。 8 调试与加载配置 设计开发的最后步骤就是在线调试或者将生成的配置文件写入芯片中进行测试。示 波器和逻辑分析议( l a ,l o g i c a n a l y z e r ) 是逻辑设计的主要调试工具。 s i g n a l t a pi i 是一种f p g a 在线片内信号分析工具,它的主要功能是可以通过j t a g 口,在线、实时地读出f p g a 的内部信号。其基本原理是利用f p g a 中未使用的b l o c k r a m ,根据用户设定的触发条件将信号实时地保存到这些b l o c kr a m 中,然后通过 j t a g 口传送到计算机,最后在计算机屏幕上显示出时序波形。 任何仿真或验证步骤出现问题,就需要根据错误的定位返回到相应的步骤更改或者 重新设计。 2 5 未来f p g a 技术展望 可编程逻辑设计技术正处于高速发展的阶段。新型的f p g a c p l d 规模越来越大, 成本越来越低。高性价比使可编程逻辑器件在硬件设计领域扮演着日益重要的角色。低 端的c p l d 已经逐步取代了传统的7 4 系列数字元件,高端的f p g a 也在不断地夺取a s i c 的市场份额,特别是目前大规模f p g a 多数支持可编程片上系统( s o p c ) ,与c p u 或d s p c o r e 的有机结合使f p g a 已不仅仅是传统的硬件电路设计手段,也是当今逐步升华为系 统级实现工具。 2 5 1下一代可编程逻辑器件硬件上的四大发展趋势 下一代可编程逻辑器件硬件上的四大发展趋势可总结如下:最先进的a s i c 生产工 艺将被更广泛地应用于以f p g a 为代表的可编程逻辑器件;越来越多的高端f p g a 产品 将包含d s p 或c p u 等处理器内核,从而f p g a 将由传统的硬件设计手段逐步过渡为系 统级设计平台;f p g a 将包含功能越来越丰富的硬核( h a r di pc o r e ) ,与传统a s i c 进一 步融合、并通过结构化a s i c 技术加快占领部分a s i c 市场;低成本f p g a 的密度越来 越高,价格越来越合理,将成为f p g a 发展的中坚力量【lo 】。 1 先进工艺 一方面可编程逻辑器件的应用场合越来越广泛,客户对f p g a 等可编程逻辑器件提 出了更苛刻的要求,希望f p g a c p l d 的封装越来越小,速度越来越快,器件密度越来 、 1 1 2f p g a 技术及a l r e r as t r a t i xf p g a 硕上论文 越高,有丰富的可编程单元可供使用,并要求基础功能强大的a s i c 硬核,以便实现复 杂系统的单片解决方案。另一方面,f p g a 、c p l d 等可编程逻辑器件的可观利润又要 求生产商不断降低器件成本,从而在激烈的市场竞争中立于不败之地。这一切就要求可 编程器件生产商不断将最新最尖端的i c 设计方法与制造工艺运用于f p g a c p l d 的新 产品中。, 2 处理器内核 电路设计主要有偏硬和偏软两种应用,偏硬的应用即数字硬件电路,其特点是要求 信号实时或高速处理,处理调度相对简单,前面已经提到f p g a c p l d 已经逐步取代传 统数字硬件电路,成为偏硬部分的主要设计手段;偏软的应用即数字运算电路,其特点 是电路处理速度要求相对较低,允许一定的延迟,但是处理调度相对复杂,其主要设计 手段是c p u 或者d s p 。偏硬电路的核心特点是实时性要求高,偏软电路的核心特点是 调度复杂。 3 硬核与结构化a s i c 高端f p g a 的另一个重要特点是集成了功能丰富的h a r di pc o r e ( 硬知识产权核) 。这 些h a r d1 pc o r e 般完成高速、复杂的设计标准。通过这些h a r di pc o r e ,f p g a 正在 逐步进入一些过去只有a s i c 能完成的设计领域。 、 4 低成本器件 低成本是f p g a 发展的另一个主要趋势。 2 5 2 下一代e d a 软件设计方法发展趋势 e d a 软件设计技术不断发展,总的趋势可以归纳为:支持不断更新的器件族,越来 越人性化的设计,越来越好的设计优化效果,仿真软件的速度越来越快和精度越来越高, 综合软件的综合优化效果越来越好,分析验证手段越来越完备,布局布线软件的效率和 优化效果不断提高【1 1 1 。e d a 软件设计方法具有下列比较显著的特点: 1 高

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论