EDA实验报告60S电子闹钟.doc_第1页
EDA实验报告60S电子闹钟.doc_第2页
EDA实验报告60S电子闹钟.doc_第3页
EDA实验报告60S电子闹钟.doc_第4页
EDA实验报告60S电子闹钟.doc_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

eda实验报告 题 目 60秒电子闹钟 学 院 电子工程学院 专 业 学生姓名 导师姓名 初秀琴 一 功能描述电路上电后自动计时,到达预置的闹响时刻后,由扬声器发出音乐报警。闹响时刻可利用dip开关设置,两位数:059。二 设计思路电路主要由分频器、m60计数器、闹铃电路、显示电路等部分组成。秒信号脉冲可由分频器产生,用dip开关设置闹响时刻,当m60计数器的输出与设置的闹响时刻相等时,闹铃电路输出脉冲驱动扬声器发出音乐报警。总体设计思路如图1所示:七段显示扬声器显示电路dip开关闹铃电路m60计数器高频脉冲分频器图1 总体设计思路三 功能模块1 分频器程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity devide isport(clk:in std_logic; -输入时钟clk_out:out std_logic -输出信号);end devide;architecture arc_devide of devide issignal count:std_logic_vector (14 downto 0); -定义内部信号beginprocessbeginwait until clkevent and clk=1;if(count32767)then -改变最大计数值即可得到不同的分频系数count=count+1;clk_out=1;end if;end process;end architecture arc_devide;符号图如图2所示:图2 分频器符号图2 m60计数器程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcd_m60 isport (clk:in std_logic;en:in std_logic;cr:in std_logic;ql,qh:out std_logic_vector(3 downto 0) -8421bcd码个位、十位输出);end bcd_m60;architecture behav of bcd_m60 issignal coul,couh:std_logic_vector(3 downto 0);beginprocess(cr,clk)beginif cr=0 then -异步复位coul=0000;couh=0000;elsif clkevent and clk=1 then if en=1 then if (coul=9 and couh=5) then -个位计到9十位计到5回零coul=0000;couh=0000;elsif coul=9 then -个位计到9回零十位加1coul=0000;couh=couh+1;elsecoul=coul+1; -否则个位加1end if;end if;end if;end process;ql=coul;qh=couh;end behav;符号图如图3所示:图3 m60计数器符号图仿真波形如图4所示:图4 m60计数器仿真波形经分析,m60计数器仿真波形正确。3 闹铃电路程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity alert isport (stop:in std_logic; -控制是否响铃clk:in std_logic;dipl,diph:in std_logic_vector(3 downto 0);ql,qh:in std_logic_vector(3 downto 0);speak:out std_logic);end alert;architecture behav of alert isbeginprocess(stop,clk,ql,qh)beginif stop=0 then speak=0;elsif ql=dipl and qh=diph then -输出脉冲驱动扬声器speak tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp null;end case;end process;a=tmp(6);b=tmp(5);c=tmp(4);d=tmp(3);e=tmp(2);f=tmp(1);g=tmp(0);end arc;符号图如图6所示:图6 显示电路符号图四 总体仿真顶层文件原理图如图7所示:图7 顶层文件原理图仿真波形如图8所示:如图8所示,当m60计数器计数与置

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论