




已阅读5页,还剩38页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
清华大学2010届毕业设计说明书1 绪论1.1 课题背景现代靶场训练中大量使用先进技术,一方面来提高射击运动员的技战术水平和保证做到科学的训练,另一方面采集子弹在碰撞靶纸时的运行速度和转动的角度等动态量,为以后的设计提供参考数据。枪支和子弹一直在不断的进行技术改进和产品升级,但是靶纸的改进却是十分缓慢的,在子弹的高速运行当中我们可以采用高速CCD相机进行取样采集和数据分析,但是我们却忽略了子弹和靶纸相撞的瞬间的数据采集。本系统可以在子弹和靶纸相撞的瞬间进行数据采集、自动存储、智能分析等,有利于相关人员更加准确的掌握各种数据,进行技术指导和产品升级。数据采集技术是信息科学的一个重要分支,它与传感器技术、信号处理技术、计算机技术一起构成了现代检测技术的基础。随着科学技术的发展和数据采集系统的广泛应用,人们对数据采集系统的主要技术指标,如通过速率、分辨率、精度、输入电压范围、采样深度、控制方式以及抗干扰能力等方面都提出了越来越高的要求。尤其是通过速率,这是数据采集系统设计者与使用者都十分关心的一项重要技术指标。随着智能测试技术、电子技术和计算机技术的快速发展,微型计算机技术,尤其是微控制器(单片机)的发展极为迅速,其应用越来越广1。单片机主要应用于控制领域,用以实现各种测试和控制功能,目前更为先进的型号自带存储空间。目前,单片机还广泛应用于工业测控、计算机外围设备、工业智能化仪表、生产过程的自动控制、军事和航空航天等领域2。复杂可编程逻辑器件(CPLD)更是其中的佼佼者,而本系统拟选用的ALTERA的STRATIX II系列的EP2S30,STRATIX II更以其优越的性能为无数的用户提供了低功耗,高性能的优越硬件平台。1.2 目前的高速存储器技术在高速数据采集、高速数据存储与高速数琚处理技术方面,虽然国外一些知名企业如德州仪器 (TI)、安捷伦(Agilent)、NI(美国国家半导体公司)、HP(美国惠普公司)、泰克(Tektronix)、美国LINK、Fluke、Nicolet、Gould、Advantest以及日本横河等仍然占据着强势的地位,但是国内不少企业也纷纷崛起,如凌华科技、研华、泛华、北京安迪科技、北京和利时等,不少企业都在急起直追,原来那种国外企业垄断技术市场的局面已经一去不复返。许多高技术、高指标的产品,不再是国外知名企业的专利,国内多数企业已经掌握了这些高端的技术,并且已经在技术市场推出了自己叫得响的产品。例如,在高速数据采集、高速数据存储技术中,目前普遍应用双口RAM技术、FIFO技术以及换体存储技术使得高速数据采集、高速数据存储技术中几乎不再存在瓶颈问题,只有性价比的考虑而已3。在冲击力探测方面,大部分探测器都是利用电容效应,热气泡效应,光效应等间接手段来探测得,但是其最基本的原理都是由于冲击力使某个介质产生变形,通过测量其变形量并用相关电路转化成电压输出4。1.3 论文主要研究内容及安排本篇论文是以Stratix II系列器件EP2S30为控制核心,设计基于CPLD的高速存储器的设计系统,并根据相应情况提供实时显示和数据存储分析功能。针对上述研究内容,本论文内容具体安排如下:第1章:阐述了研究本课题的背景及目前的存储技术。第2章:进行了方案对比,并设计了系统的总体方案。第3章:对系统进行了硬件设计,并对硬件的各个模块及模块电路做了详细的介绍。第4章:结合时序对系统进行软件总体及子程序的设计,并绘制了流程图,并给出了AD774工作时序和CPLD程序。第5章:对系统调试过程中容易出现的问题做了阐述,并对本系统的改进做了说明。第6章:对本系统设计做了简要的总结。2 系统总体方案设计2.1 系统总体方案对比与分析 目前的存储技术发展主要基于两个方面,一是专用存储器件的开发,如存储器IC(K24C02, 04, 08, 16, 32, 64, 128),闪存记忆卡(其中有些是USB借口便于数据高速传送和接受,也方便设计外围连接电路);一是某些微型处理器自带一部分存储单元,有flash、eprom、eeprom等类型,这些器件有STC89C55RD+内嵌20K字节的Flash程序存储器、16K字节的EEPROM存储器以及1280字节的SRAM5,ATmega128内有128K 字节的系统内可编程Flash,4K字节的EEPROM,4K字节的内部SRAM6,这些器件都是基于51内核构建的,存储容量和存储速度方面有所限制。相比而言,可编程逻辑器件却有很大的潜力,不仅容量大而且速度更快,所以目前大部分先进的存储技术都是用可编程逻辑器件设计的。目前常用的可编程逻辑器件主要有FPGA与CPLD。它们都是可编程ASIC器件,有很多共同特点但二者在结构上有一定差异:FPGA在结构上主要分为可编程IO单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。而CPLD则主要由可编程IO单元、基本逻辑单元、布线池和其它辅助功能模块构成。二者结构的不同使其又具有各自的特点:FPGA更适合于完成时序逻辑,而CPID则适合完成各种算法和组合逻辑。也就是说,FPGA更适合于触发器丰富的结构,而CPLD则适合于触发器有限而乘积项丰富的结构。FPGA的分段式布线结构决定了其延迟的不可预测性。而CPLD的连续式布线结构则决定了它的时序延迟是均匀的和可预测的。与FPGA相比,CPLD比较适合复杂状态机、存储控制器等应用,可广泛应用于高速领域和实时测控等方面,具有高速、高可靠性的特点。基于以上情况,本系统采用CPLD作为系统主控制器7。2.2 系统总体方案设计本设计要求以Stratix II系列器件EP2S30为控制核心,设计基于CPLD的高速存储器设计,并根据相应情况提供实时显示和数据存储分析功能。基于CPLD的高速存储器设计系统结构图如图2.1所示。上位机CPLD处理键盘控制A/D转换取样电路运算放大电路LCD显示冲击力传感器 USB图2.1 系统结构图冲击力信号由QSY8305冲击力传感器11转换为电信号,先经过放大电路将微弱的信号放大至符合后级电路需求,再经过12位高速AD完成模数转换12,通过并行总线,输出数字信号送入EP2S30进行数据存储处理。同时进行放大、电压比较产生中断触发信号和进行简单数据量化处理以提供给EP2S30进行实时的数据处理。EP2S30实现数据采集、存储、处理、传输,采用Quartus II完成软件设计。数据输出部分通过LCD16028和USB通信9方式完成冲击力传感器采集的数据的显示、存储和发送给上位机,构成了一个基于CPLD的高速存储器的设计。LM339产生中断信号3 系统硬件设计AD774模数转换AD620SQ仪表放大电路XTR101送变器电流信号QSY8305传感器Stratix II系列EP2S30上位机 USB通信LCD1602显示数据图3.1 系统的硬件连接图系统的整体硬件电路如图3.1所示:以EP2S30为核心控制器,包括送变器电路、信号放大电路、AD采集电路、电压比较电路和显示电路,下面逐个模块介绍:3.1 QSY8305冲击力传感器及送变电路电路3.1.1 QSY8305简述QSY8305是绵阳市奇石缘科技有限公司基于其他传感而开发的冲击力传感器,其具体参数如表3.1所示表3.1 QSY8305相关数据量 程0.005t60t灵 敏 度2pC/N非 线 性1%频 响30kHz工作温度-25+120阻尼减振垫传感器自带由于本系统要求冲击力传感器量程为20000N,频率范围为2Hz10kHz,有表3.1.1可知此冲击力传感器完全满足需要。3.1.2 QSY8305的原理QSY8305是基于压电式加速度传感器10制作而成,压电式加速度传感器又称压电加速度计。它也属于惯性式传感器。它是利用某些物质如石英晶体的压电效应,在加速度计受振时,质量块加在压电元件上的力也随之变化。当被测振动频率远低于加速度计的固有频率时,则力的变化与被测加速度成正比。由于压电式传感器的输出电信号是微弱的电荷,而且传感器本身有很大内阻,故输出能量甚微,这给后接电路带来一定困难11。 为此,通常把传感器信号先输到高输入阻抗的前置放大器。经过阻抗变换以后,方可用于一般的放大、检测电路将信号输给指示仪表或记录器。目前,制造厂家已有把压电式加速度传感器与前置放大器集成在一起的产品,不仅方便了使用,而且也大大降低了成本。图3.2 压电式加速度传感器常用的压电式加速度计的结构形式如图3.2所示。S是弹簧,M是质块,B是基座,P是压电元件,R是夹持环。图3.2a是中央安装压缩型压电元件质量块弹簧系统装在圆形中心支柱上,支柱与基座连接。这种结构有很高的共振频率。然而基座B与测试对象连接时,如果基座B有变形则将直接影响拾振器输出12。此外,测试对象和环境温度变化将影响压电元件,并使预紧力发生变化,容易引起温度漂移。图3.2c为三角剪切形,压电元件由夹持环将其夹牢在三角形中心柱上。加速度计感受轴向振动时,压电元件承受切应力。这种结构对底座变形和温度变化有极好的隔离作用,有较高的共振频率和良好的线性。图3.2b为环形剪切型,结构简单,能做成极小型、高共振频率的加速度计,环形质量块粘到装在中心支柱上的环形压电元件上。由于粘结剂会随温度增高而变软,因此最高工作温度受到限制。3.1.3 XTR101送变电路简介 XTR101是美国BB公司(BURR-BROW)开发的4-20mA两线制送变器微型电路,它具有良好的仪表放大器输入特性,它的低失调电压最大值仅为30uV,低电压失调最大值仅为0.75uV/。C,是一个真正的两线制工作电路,也是一个用途广泛的通用型传感器接口电路,适用于压力、温度、称重和位置等传感器信号的变换13。3.1.4 XTR101送变电路工作原理XTR101通用型变送器单片模块电路,可把传感器的电压信号自动地变换成标准电流信号。内含一个高精度的仪表放大器、一个电压/电流变换器和二个相同的1mA精密恒流源基准。该电路失调电压低,最大为30uV,漂移小,最大为0.75 uV/。C,外接元件可适于远程信号传输变换和热电偶、电阻温度计(RTD)、热敏电阻以及应变计电桥等多种工作状态的变送电路。实际应用时,应在输出端外加一个功率管,使工作时的热源外移,以保证其工作稳定。XTR101接脚图如图3.3所示,传感器的电压信号由3、4脚输入,5、6脚外接电阻Rs可以调节输出满幅值,1、2、14脚外接电位器组成初始调零电路,10、11脚分别输出二个1mA恒流,可用于传感器供电,8脚外接电源正端(也且是环流注入端),7脚通过负载电阻RL接电源负端(也是环流信号输出端),12、8、9可外接功率管。图3.3 XTR101引脚图XTR101两线制送变器的优点是抗干扰能力强,长期运转导致的压降、电机噪音、继电器、电力拖动装置、电器开关、电流互感器和工作设备电源的频繁切换启动均无影响。它的工作温度范围宽,为-40+80。C,因此,XTR101常常作为OEM产品被变送器或数据采集系统制造者所使用。3.2 运算放大电路AD620SQ构成了一个正向放大器,AD620是仪表放大器。在一般的信号放大的应用中只要通过差动放大电路即可满足要求,然而基本的差动放大电路的精密度较差、且差动放大电路上要改变增益时,必须调整两个电阻,影响整个信号放大的变因就更加复杂。仪表放大电路则无上述缺点。AD620具有精确度高、低噪声、使用简易等有点。AD620的参考电路连接如图3.4所示,AD620是通过在1、8端跨接电阻RG1来实现增益调节。增益G与跨接电阻RG1的关系如式3.1所示。 (式3.1)图3.4 AD620SQ精密仪表放大器应用电路这里只需调节电阻RG1的阻值即可将输出Vout的电压振动的峰峰值调节到合适的范围。图3.5放大电路中TL084IDC输出参考电压到AD620SQ的“-”端,XTR101输出地标准4-20mA电流经电阻R7、R8、R9后变为电压信号,输入到AD620SQ的“+”端构成一个正向放大器,保证输出的信号不会被反相。调节AD620SQ的“-”端电压和R11阻值使最后的输出为:0mA时输出0V电压,4mA时输出+5V电压,20mA时输出10V电压。图3.5 系统中AD620SQ电路图3.3 模数转换电路由于传感器输出的是变化的电压信号,而EP2S30芯片内没有内置A/D转换器,所以是无法直接识别电压信号,因此这里需要外接A/D转换芯片进行模数转换。振动信号频率最大为10kHz,为了保证数据的完整性,必须要采用高速AD进行数据转换,所以本系统采用12位精度,转换时间位8.5us即转换速率为118kHz的高速ADAD77414。AD774为12位高速并行输出AD,基本电路如图3.6,电路连接简单,输入有多种模式,包括10V, 20V和2.5V三种状态,单电源供电可输入正负电压。其输出方式可以设置为8位输出和12位输出。12位输出状态还可以设置为12同时输出或先输出高八位再输出低四位。出于本系统中使用的EP2S30的芯片考虑,本设计中就选择一次输出十二位的工作方式。和EP2S30接口电路如图3.7所示。图3.6 AD774工作电路图3.7 12位输出连接方式3.4 高输入阻抗放大电路 经过AD620SQ精密仪表放大器输出电压信号,分为两路信号,一路给ADS774,另一路给LM393经过比较输出中断使能信号,而经电压比较后的信号对AD620SQ的信号有影响,从而影响ADS774的信号采集,所以本系统设计中采用了高输入阻抗放大电路如图3.8所示,本模块设计的主要作用是减小LM393比较电路产生的电压对AD620SQ输出信号的影响。其具体原理是采用两个LM324集成运放,组成反向电路,而放大比例为为-R23/R21=-1,而经过另一极的反向即变为原来的电压值,但就其原理而言,此模块也属于电压并联负反馈,有利于减小信号产生的毛刺,对AD620SQ的影响减到最少15。图3.8 阻抗变换电路3.5 电压比较电路经放大电路输出的电压还不能直接输入EP2S30,为EP2S30提供中断触发控制信号。必须要经过一级电压比较电路,输出标准的高低电平才能被EP2S30,其原理如图3.9所示。图3.9 电压比较电路本设置中使用LM393构成电压比较电路,放大电路输出信号由LM393的2端输入,电位器R27调节触发电压输入3端。由1端经过一个10k的上拉电阻为EP2S30单片机提供中断触发信号和采集控制电平。EP2S30进入中断后启动A/D采集,采集多长时间是由电压比较电路输出的低电平的宽度决定。本设计中的具体电路如图3.10所示,图中输入电压经R28电位器分压输入到LM393的“-”端,而“+”端则是由+5V电压经过R30电位器分压输入,分得的电压为比较电路提供标准,参考电压可通过R30调节。而输出的电压信号并非标准的正负电压,且当输入电压大于参考电压时输出为负,所以本设计中加一个74HS00AN将所得的信号翻转,同时变为标准的电压信号。图3.10 系统中实际电压比较电路3.6 EP2S30 简介 3.6.1 EP2S30内部结构EP2S30是ALTERA推出的基于90nm工艺的STRATIX的下一代产品,具有相当多的自适应逻辑块和等效逻辑单元16,相比于第一代而言速度更快性能更加优异,其内部结构图如图3.11所示。图3.11 EP2S30的内部部分结构图3.6.2 EP2S30性能简介ALTERA的STRATIX II是STRATIX的新一代产品,采用90nm工艺,1.2V内核供电。STRATIX II系列采用创新的逻辑结构自适应逻辑模块(ALM)将更多的逻辑封装进更小的区域中,实现更高的性能,并且STRATIX II具有配置比特流加密技术,保证了设计的安全性。EP2S30作为首选芯片,它有13552个ALM,完全满足本次设计的数据处理任务。其主要性能如下所述:自适应逻辑块(ALE) 13,552等效逻辑单元(LE) 338,800 M512 RAM 块 (512 bits) 202 M4K RAM 块 (4 Kbits) 144 M-RAM 块(512 K) 1总共 RAM bits 1,369,728 DSP块(每个DSP包含4个18x18乘法器) 16锁相环(PLL) 6 最大可用I/O管脚 542 主要优点配置器件的高级特性主要包括:数据压缩 动态配置 8-比特的并行配置 外部闪存接口 ISP 时钟可编程 堆叠式芯片技术4 系统软件设计 4.1 软件总体设计 程序的控制思想:系统运行后初始化系统变量、初始化USB接口和中断源、启动键盘扫描子程序等待有键按下;如果S1键按下将存储器中的数据通过USB通信发送到PC机,供上位机调控;如果S2键按下则启动转换子程序,完成冲击力数据的采集并存储到Data Flash中;如果S3键按下则删除存储器中原有数据,等待下次存储。如果S4键按下则将存储在存储器中的数据进行比较,通过比较判断得出最大值和采样频率,实现实时采集并做出判断将结果输通过LCD1602进行显示。如图 4.1所示。开始系统初始化按键识别 无按键按下存储器中的数据比较删除存储器中的数据启动中断发送存储器中的数据LCD1602显示上位机启动ADS774采集数据并存储关闭中断图4.1 功能函数框图4.2 子程序设计 EP2S30可以通过ISP或JTAG技术对其内部逻辑单元进行擦除、读取和写入操作。4.2.1 内部存储程序ER2S30内部存储程序17程序如下图所示,其中声明一个 1K的存储数组,用于存储采集的数据。本模块中为1K的存储单元而采用的都是八位数据存储方式,所以需要8位数据线,10位地址线,在存储过程当进行EP2S30写操作时中,先写地址后写数据,当对EP2S30进行读操作时,先往EP2S30中写地址后从相应的单元中读相应的数据。其具体的程序如下所示。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-调用的库函数entity memmod_ent is端口声明port(data: in std_logic_vector ( 7 downto 0 );read_write: in std_logic;enable:in std_logic;clock:in std_logic;address:in std_logic_vector ( 6 downto 0 );output :out std_logic_vector (7 downto 0 );end memmod_ent;architecture memmod_arch of memmod_ent istype memory_array_type is array( 0 to ( 2* (10) -1) ) ofstd_logic_vector( 7 downto 0 );-声明1k的数组signal memory_array : memory_array_type;beginprocess( clock,enable,read_write)beginif( clock=1 and clockevent)thenif( enable=0)thenif(read_write=0)thenmemory_array(conv_integer(address) =data;elsif(read_write=1)thenoutputresult=4;”得对应的按键值为4,有时序仿真的按键值为4。由以上论证可以知道本模块程序设计完全正确,可以应用到本系统的设计中。图4.6 按键时序仿真4.2.3 LCD1602显示程序 液晶显示器以其微功耗、体积小、使用灵活等诸多优点在袖珍式仪表和低功耗应用系统中,得到越来越广泛的应用。液晶显示器通常可分为两大类:一是点阵型;二是字符型。点阵型液晶通常面积较大,可以显示图形;而一般的字符型液晶只有两行,面积较小,只能显示一些字符和一些简单的图形,但其简单易控制且成本低。出于成本、外围电路复杂难易和控制性等方面考虑,本系统设计中采用字符型液晶来显示。本程序的设计是基于4位数据宽度下,字符型LCD的接口电路,完成向液晶写入字符和数字的过程。其中,定义了函数putc用于字符的输出译码,定义了函数putn用于数字09的输出译码。另外,本程序还演示了设定显示位置和光标归位功能。其具体程序19如附录C所示,硬件电路如图4.7所示。图4.7 LCD1602硬件电路连接图4.2.4 AD774的A/D采集流程QSY8305冲击力传感器的输出为变化的电压信号,而EP2S30没有内置A/D模块,所以必须要使用外部A/D采集芯片将模拟信号数字化。本系统所使用的AD774的控制信号功能组合表如表4.2所示。表4.2 AD774控制信号组合表如果要使AD774以独立方式工作,只要将CE,12/端接入+5V,和Ao接至0V,将R/作为数据读出和数据转换启动控制。当R/=1时,数据输出端出现被转换后的数据,R/=0时,即启动一次A/D转换。在延时0.5us后STS=1表示转换正在进行。经过一次转换周期Tc(典型值为25us)后STS跳回低电平表示A/D转换完毕,可以从数据输出端读出新的数据。启动AD774转换的时序图和AD774读取的时序图如图4.8和图4.9所示。图4.8 AD774转换的时序图4.9 AD774读取的时序本系统中使用的时AD774的十二位转换,数据一次读出功能。所以需要控制5脚R/C和28脚STATUS来进行转换控制。启动AD转换后检测转换完毕信号端STS,转换过程中STS输出为高电平,当转换结束STS输出低电平,即可控制5脚R/C来进行读数据。程序中虽然采用一次读取12位数据,但是被EP2S30读取后分为高四位和低八位存储,其中高四位扩充为八位的数据,高四位用零填充,先存储低八位数据,后存储高四位扩充后的数据,当读数据时先读高八位数据,后读低八位数据,两组数据组合成十六位数据,其中高四位为零,此十二位数据供以后模块调用。其中AD774的数据采集程序如下所示。library ieee;use ieee.std_logic_1164.all;entity ad774 isport (sts: in std_logic;rc : out std_logic;data_in: in std_logic_vector(11 downto 0);data_out: out std_logic_vector(11 downto 0);end ad774;architecture rtl of ad774 is signal sel: std_logic; begin rc=0; sel=falling_edge(sts); if sel then rc=1; data_out=data_in; end if; end rtl; 本程序在Quartus II中仿真正确并生成相应的电路符号(如图4.10),其仿真的时序图如图4.11所示图4.10 AD774的电路符号图图4.11 AD774仿真时序由时序图可知在sts为高电平期间,AD774处于转换状态,不输出数据,当sts有高电平跳到低电平并且rc为高电平时输出数据,并且此时数据为此时data_in的数据。由以上论述可知时序正确,可应用到实际电路中。5 系统调试在实际调试的过程中,为确保读数的正确性和稳定性,可利用示波器观察LM393比较电路的输出的信号是否符合要求,如果信号不符合要求则可以通过调节LM393周围的电容电阻使其输出达到要求。通过示波器观察LM339电压比较电路,调节基准电位器R27使电压比较电路能够在检波输出达到或超过一定幅值时为EP2S30提供一个准的触发信号以启动数据采集系统。经A/D采集后的数据存储EP2S30中,因为EP2S30中设计的存储单元的数据格式都是8位的,而采集回的数据为12位数据,所以存储时将高四位和低八位分别存储,通过USB通信方式向PC机发送数据时也是先发送高四位,再发送低八位。PC机接收到这些数据后首先将数据组合还原成原来的12位数据,再进行波形还原成像,即为可形象的看到采集的冲击力的大小。不同振动所产生的冲击力的波形特征时不同的,所以我们可以先经过测试,采集大量数据,提取特征量,构建振动特征库。当进行数据采集分析时就可以提取特征量,于已构建的特征库中的数据进行比对分析,即可判断此次打靶实验中子弹冲击力的各项指标。6 结论本系统可以对冲击力的运动方向和频率等多种运行参数进行测定和存储,通过对这些参数的分许处理可以综合判断每一次接触的状态及力的最大值,实时给出最大值等简单状态。也可以存储冲击力数据并输入PC机,通过PC进行波形还原或更为细致的分析,为打靶实验提供科学依据。为此,设计一种乒乓球台振动模式测试系统。本系统为基于CPLD的高速存储器的设计,采用QSY8305型冲击力传感器,通过外部送变电路、放大电路将信号变为0到10V的电压信号,通过高速AD芯片AD774将数据变为数字信号供EP2S30进行数字存储和处理,同时经过放大电路的电压信号在经过比较电路产生触发信号,控制主控芯片EP2S30进行数据的采集。本系统数据采集速度快、存储速度快、存储容量大、符合题目的设计要求。因此,该系统的设计有一定的实用参考价值。附录 A:系统整体电路图附录B:按键子程序library ieee;use ieee.std_logic_1164.all;entity kbscan is 声明端口port (clk: in std_logic;kin : in std_logic_vector(3 downto 0);kout: out std_logic_vector(3 downto 0);result: out integer range 0 to 16 );end kbscan;architecture behavioral of kbscan issignal scans: std_logic_vector(7 downto 0); signal scan: std_logic_vector(3 downto 0); signal i : integer range 0 to 3;begin scans=kin&scan; kout=scan;process(clk)begin if(falling_edge(clk)then if(i=3)then i=0; else iscanscanscanscan=0001; end case; end if;end process;process(clk)begin if(rising_edge(clk)then if(kin=0000)then resultresultresultresultresultresultresultresultresultresultresultresultresultresultresultresultresultresult result:conv_std_logic_vector(65,8); whenb= result:conv_std_logic_vector(66,8); whenc= result:conv_std_logic_vector(67,8); whend= result:conv_std_logic_vector(68,8); whene= result:conv_std_logic_vector(69,8); .后面是其他字母 whena= result:conv_std_logic_vector(97,8); whenb= result:conv_std_logic_vector(98,8); whenc= result:conv_std_logic_vector(99,8); whend= result:conv_std_logic_vector(100,8); . 后面是其他字母 when others = result:conv_std_logic_vector(32,8); end case; return result; end putc; function putn(num:in integer range 0 to 9)return std_logic_vector is variable fig:std_logic_vector(7downto 0);begin case num is when 0=fig:00110000; when 1=fig:00110001; when 2=fig:00110010; when 3=fig:00110011; when 4=fig:00110100; when 5=fig:00110101; when 6=fig:00110110; when 7=fig:00110111; when 8=fig:0011100
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 河南省新乡市2026届化学高一第一学期期中综合测试模拟试题含解析
- 桥梁养护管理培训课件
- 2025注册验船师资格考试(B级船舶检验法律法规)考前模拟试题及答案一
- 核心银行面试题及答案
- 2025注册验船师考试(B级船舶检验法律法规)综合能力测试题及答案一
- 北京市门头沟区2024-2025学年八年级上学期第一次月考物理试题含参考答案
- 2025年初级汽车维修工考试模拟试题集
- 2025年人工智能项目经理面试模拟题及答案详解
- 2025年碳排放权与碳期货市场关联研究面试高频考点
- 公务员面试题实例分析及答案
- 急性胆囊炎疾病概述
- 从零开始讲装置布置:建规、石化规、精细规在工程设计时如何合理选用
- 2025年危险品水路运输从业资格考试复习题库(共500题)
- 小红书运营合作协议书
- 工业固废处置协议书
- 艺术基金授课协议书
- 【杭州】2025年浙江杭州市萧山区综合行政执法局第一次招聘编外人员14人笔试历年典型考题及考点剖析附带答案详解
- 2025保密观题库题库及答案
- 中华人民共和国民营经济促进法
- TBIE004-2023通孔回流焊接技术规范
- DB32T 5045-2025政务服务“一件事一次办”服务规范
评论
0/150
提交评论