




已阅读5页,还剩20页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
QUARTUS 8.0 LPM模块功能介绍中文版( lwg9982004s Blog转载)QUARTUS 8.0 LPM模块功能介绍中文版(lwg9982004s Blog转载)本人英语很好很多地方都翻译的不太专业,现在贴出来请高手修改下,同时也方便我们这些初学者。 Megafunctions/LPMThe Quartus II software offers a variety of megafunctions, including the library of parameterized modules (LPM) functions and other parameterized functions. Megafunctions are listed below by function. Functions indicated by an asterisk (*) are provided for backward compatibility only. Arithmetic MegaWizards and Megafunctions:Arithmetic components include accumulators, adders, multipliers, and LPM arithmetic functions.MegaWizard Megafunction(s) Comments ALTACCUMULATE altaccumulate Parameterized accumulator megafunction.参数化的寄存器模块 ALTECC altecc_decoder Error correction code (ECC) megafunction.数据代码纠正模块 altecc_encoder Error correction code (ECC) megafunction.数据代码纠正模块 ALTFP_ADD_SUB altfp_add_sub Floating-point adder/subtractor megafunction.浮点、加法器/减法器模块 ALTFP_COMPARE altfp_compare Parameterized floating-point comparator megafunction.参数化的浮点比较器模块 ALTFP_CONVERT altfp_convert Parameterized floating-point conversion megafunction.参数化的浮点转换器模块 ALTFP_DIV altfp_div Parameterized floating-point divider megafunction.参数化的浮点分配器模块 ALTFP_MULT altfp_mult Parameterized floating-point multiplier megafunction.参数化的浮点乘法器模块 ALTFP_SQRT altfp_sqrt Parameterized floating-point square root megafunction.参数化的浮点平方根模块 ALTMEMMULT altmemmult Parameterized memory multiplier megafunction.数化的记忆乘法器模块 ALTMULT_ACCUM (MAC) altmult_accum Parameterized multiply-accumulate megafunction.参数化的乘-累积模块 ALTMULT_ADD altmult_add Parameterized multiplier/adder megafunction.参数化的乘法器/加法器模块 ALMULT_COMPLEX altmult_complex Parameterized complex multiplier megafunction.参数化的综合乘法器模块 ALTSQRT altsqrt Parameterized integer square root megafunction.参数化的整数平方根模块 LPM_ABS lpm_abs Parameterized absolute value megafunction.参数化的绝对值模块 LPM_ADD_SUB lpm_add_sub Parameterized adder/subtractor megafunction.参数化的加法器/减法器模块 LPM_COMPARE lpm_compare Parameterized comparator megafunction.参数化的比较器模块 LPM_COUNTER lpm_counter Parameterized counter megafunction.参数化的计数器模块 LPM_DIVIDE lpm_divide Parameterized divider megafunction.参数化的分配器模块 divide* Parameterized divider megafunction.参数化的分配器模块 Use the divide megafunction with mature device families. For newer device families, use the lpm_divide megafunction. LPM_MULT lpm_mult Parameterized multiplier megafunction.参数化的乘法器模块 altsquare Parameterized squarer megafunction.参数化的 squarer 模块 PARALLEL_ADD parallel_add Parallel adder megafunction.参数化的并联加法器模块 Gates MegaWizards and Megafunctions:Gates include multiplexers and LPM gate functions.MegaWizard Megafunction(s) Comments LPM_AND lpm_and Parameterized AND gate megafunction.参数化的与门模块 LPM_BUSTRI lpm_bustri Parameterized tri-state buffer megafunction.参数化的三态缓冲器模块 LPM_CLSHIFT lpm_clshift Parameterized combinational logic shifter or barrel shifter megafunction.参数化的组合逻辑转换模块 LPM_CONSTANT lpm_constant Parameterized constant generator megafunction.参数化的常数发生器模块 LPM_DECODE lpm_decode Decoder megafunction.参数化的解码器模块 LPM_INV lpm_inv Parameterized inverter megafunction.参数化的反相器模块 LPM_MUX lpm_mux Parameterized multiplexer megafunctions.参数化的多路转换器模块 LPM_OR lpm_or Parameterized OR gate megafunction.参数化的或门模块 LPM_XOR lpm_xor Parameterized XOR gate megafunction.参数化的异或门模块 I/O MegaWizards and Megafunctions:I/O components include Clock Data Recovery (CDR), phase locked loop (PLL), double data rate (DDR), gigabit transceiver block (GXB), LVDS receiver, LVDS transmitter, PLL reconfiguration, and remote update megafunctions. MegaWizard Megafunction(s) Comments ALT2GXB alt2gxb GXB megafunction.千兆位收发器 ALT2GXB_RECONFIG alt2gxb_reconfig GXB dynamic reconfiguration megafunction.千兆位动态配置收发器 ALTASMI_PARALLEL altasmi_parallel Active serial memory interface parallel megafunction.并联接口的串行存储器 ALTCLKCTRL altclkctrl Clock control block megafunction.时钟控制模块 ALTCLKLOCK altclklock Parameterized PLL megafunction.参数化的锁相环模块 ALTDDIO_BIDIR altddio_bidir DDR bidirectional megafunction.双倍数双向模块 ALTDDIO_IN altddio_in DDR input megafunction.双倍数输入模块 ALTDDIO_OUT altddio_out DDR output megafunction.双倍数输出模块 ALTDLL altdll Delay locked loop (DDL) megafunction.锁相环模块 ALTDQ altdq Data strobe megafunction.数据滤波模块 ATLDQS altdqs Parameterized bidirectional data strobe megafunction.参数化的双向数据滤波器 atldq_dqs Parameterized data strobe megafunction.参数化的数据滤波器ALTGX alt4gxb High-Speed Serial Interface (HSSI) GXBmegafunction.高速串行千兆收发器 ALTGXB altgxb GXB megafunction.千兆位收发器 ALTIOBUF altiobuf_bidir Bidirectional I/O buffer megafunction.双向I/O缓冲模块 altiobuf_in Input I/O buffer megafunction.输入缓冲模块 altiobuf_out Output I/O buffer megafunction.输出缓冲模块 ALTLVDS altlvds_rx Low voltage differential signalling (LVDS) receiver megafunction.低电压差分信号接收器 altlvds_tx Low voltage differential signalling (LVDS) transmitter megafunction.低电压差分信号发送器 ALTMEMPHY ALTMEMPHY External DDR Memory PHY interface megafunction.PHY接口的外部的DDR存储器 ALTOCT alt_oct On-chip termination (OCT) megafunction.片上终端模块 ALTPLL altpll Parameterized PLL megafunction.参数化的锁相环模块 ALTPLL_RECONFIG altpll_reconfig Parameterized PLL reconfiguration megafunction.参数化可重配置的锁相环模块 ALTREMOTE_UPDATE altremote_update Parameterized remote update megafunction.参数化的远程更新模块 altstratixii_oct Parameterized OCT megafunction.参数化的片上终端模块 MAX II oscillator altufm_osc Oscillator megafunction.震荡器模块 JTAG-accessible Extensions MegaWizards and Megafunctions:MegaWizard Megafunction(s) Comments In-System Sources and Probes altsource_probe In-system debugging megafunction.在系统调试模块 Parallel Flash Loader altparallel_flash_loader Parallel flash loader (PFL) megafunction.并行的快速载入模块 Serial Flash Loader altserial_flash_loader Serial flash loader megafunction.串行的快速载入模块 SignalTap II Logic Analyzer sld_signaltap SignalTap II Logic Analyzer megafunction.SignalTap II逻辑分析模块 Virtual JTAG sld_virtual_jtag Virtual JTAG Interface (VJI) megafunction.虚拟的JTAG接口模块 Memory Compiler MegaWizards and Megafunctions:Memory Compiler includes FIFO Partitioner, RAM, ROM, and memory functions.MegaWizard Megafunction(s) Comments Ram initializer altmem_init RAM initialization from ROM megafunction.ROM中载入数据初始化RAM CAM altcam Content-addressable memory (CAM) megafunction.可设地址的存储器模块 FIFO dcfifo Parameterized dual-clock FIFO megafunction.参数化的双时钟先入先出模块 dcfifo_mixed_widths Parameterized dual-clock mixed-widths FIFO megafunction.参数化的双时钟混合宽度的先入先出模块 scfifo Parameterized single-clock FIFO megafunction.参数化的单时钟先入先出模块 FIFO partitioner altcsmem FIFO partitioner megafunction.先入先出区分模块 Flash Memory altufm_i2c User flash memory megafunction with the inter-integrated circuit (I2C) interface protocol.IIC接口的闪存模块 altufm_none User flash memory megafunction (no interface protocol).无接口协议的闪存模块 altufm_parallel User flash memory megafunction with the parallel interface protocol.并行接口的闪存模块 altufm_spi User flash memory megafunction with the serial peripheral interface (SPI) protocol.SPI接口的闪存模块 RAM: 1-PORT altdpram* Parameterized dual-port RAM megafunction.参数化的双端口RAM模块 altram* Parameterized RAM megafunction.参数化的RAM模块 altsyncram Parameterized true dual-port RAM megafunction.参数化的真实双端口RAM模块 RAM: 2-PORT altdpram* Parameterized dual-port RAM megafunction.参数化的双端口RAM模块 altsyncram Parameterized true dual-port RAM megafunction.参数化的真实双端口RAM模块 lpm_ram_dq* Parameterized RAM with separate input and output ports megafunction.参数化的输入/输出接口分开的RAM模块 RAM: 3-PORT alt3pram Parameterized triple-port RAM megafunction.参数化的三倍端口RAM模块 ROM: 1-PORT altrom* Parameterized ROM megafunction.参数化的ROM模块 altsyncram Parameterized true dual-port synchronous RAM megafunction.参数化的真实双端口同步RAM模块 lpm_rom* Parameterized ROM megafunction.参数化的ROM模块 ROM: 2-PORT altsyncram Parameterized true dual-port synchronous RAM megafunction.参数化的真实双端口同步RAM模块 Shift register(RAM-based) altshift_taps Parameterized shift register with taps megafunction.参数化的移位寄存器模块 Storage MegaWizards and Megafunctions:Storage includes LPM memory functions, parameterized flipflop, parameterized latch, and parameterized shift register functions. MegaWizard Megafunction(s) Comments ALTCAM altcam Content-addressable memory (CAM) megafunction.可设地址的存储器模块 ALTQPRAM altqpra
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 装饰材料行业品牌形象宣传策略考核试卷
- 羽绒制品生产环境与职业健康安全考核试卷
- 数字智慧方案5400丨某省高院智慧法院解决方案
- 园林景观设计调研
- 2025年一级建造师之一建机电工程实务能力提升试卷A卷附答案
- 2025年水镁石项目建议书
- 2025年一级建造师之一建港口与航道工程实务强化训练试卷A卷附答案
- 户外广告媒体设计要点解析
- 牙膏的介绍教学课件
- 2023抖音电商国货食品饮料消费洞察报告
- 连栋简易温室结构计算书
- 正餐服务业连锁经营模式研究
- 【正版授权】 ISO 10993-18:2020/Amd 1:2022 EN Biological evaluation of medical devices - Part 18: Chemical characterization of medical device materials within a risk management process -
- 不忠的婚姻协议
- 2024届上海奉贤区高三一模英语试题及答案
- 2024山东铁投集团招聘笔试冲刺题(带答案解析)
- 环境与资源保护法学智慧树知到期末考试答案章节答案2024年湖南师范大学
- (高清版)JTGT 3222-2020 公路工程物探规程
- 国家级自然灾害工程应急救援队伍建设规范
- 水利水电工程建设用地设计标准(征求意见稿)
- MOOC 美术鉴赏-河南理工大学 中国大学慕课答案
评论
0/150
提交评论