交通灯控制器课设报告.doc_第1页
交通灯控制器课设报告.doc_第2页
交通灯控制器课设报告.doc_第3页
交通灯控制器课设报告.doc_第4页
交通灯控制器课设报告.doc_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电子技术A课程设计报告 学院 信息工程学院 指导老师 夏路易 姓名 梦星无魂 学号 9527 班级 非正常人类研究天字班 日期 2010-7-8 课程设计题目:单行道交通灯控制器的设计题目要求:用VHDL设计一个单行道交通灯控制器。要求传感器1、传感器2检测车辆,当车辆经过时产生一个脉冲。当方向1为绿灯时,进入单行道几辆车,就必须在方向2检测到几辆车开出,就是两个传感器检测到的车辆之差为0时,才能给方向2绿灯,否则两个方向都是红灯。车辆从方向2进入时的情况与方向1相同。要求,绿灯经过黄灯后才能变红灯。G1、G2是绿灯,Y1、Y2是黄灯,R1、R2是红灯。第1章 单行道交通灯控制器电路原理图与PCB设计根据系统设计要求,系统设计采用自顶向下的设计方法,它主要由分频模块、交通灯控制模块两部分部分组成。所设计的电路原理图如下图所示。其中下图是FPGA芯片EPIC3T100与JTAG下载、器件配置电路。电路中EPC2是10k10的器件配置芯片。CABLE_JTAG是10k10的电缆配置KTAG接口。图中还包括LED电路,时钟电路,电源电路。单行道交通灯控制器电路的PCB电路版图 图1 交通灯控制器的顶层PCB版图 图2 交通灯控制器的底层PCB版图 图3 交通灯控制器的PCB版图第二章 单行道交通灯控制器的设计根据设计要求,用VHDL设计单行道交通灯控制器,其VHDL源程序如下:1、dividerlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;use ieee.std_logic_arith.all;entity divider isgeneric ( datawidth : integer :=25);port ( clk: in std_logic; frq_out: out std_logic);end divider;architecture behave of divider issignal count : std_logic_vector ( datawidth-1 downto 0);beginprocessbeginwait until clkevent and clk=1 ;if ( count=10 ) then count=0000000000000000000000000;else count=count+1;if ( count5 ) then frq_out=0;else frq_out=1;end if;end if;end process;end behave;2、trafficlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity traffic isport ( clk,rst : in std_logic; ledout : out std_logic_vector ( 5 downto 0 );end traffic;architecture behave of traffic issignal count : std_logic_vector ( 4 downto 0);type state_value is (s1,s2,s3,s4,s5,s6);signal state : state_value;beginprocess (clk,rst)beginif rst=0 thenstate=s1;count=10100;ledoutif count=00000 thenstate=s2;ledout=010001;count=00011;else state=s1;countif count=00000 thenstate=s3;ledout=001001;count=00101;else state=s2;countif count=00000 thenstate=s4;ledout=001100;count=10100;else state=s3;countif count=00000 thenstate=s5;ledout=001010;count=00011;else state=s4;countif count=00000 thenstate=s6;ledout=001001;count=00101;else state=s5;countif count=00000 thenstate=s1;ledout=100001;count=10100;else state=s6;count=count-1;end if;end case;end if;end process;end behave;第3章 单元模块及总体电路的仿真3.1 divider_1s模块的仿真图下图为divider_1s模块的仿真图:图4divider_1s模块的仿真图3.2 traffic模块的仿真图图5 traffic模块的仿真图3.3 总体电路的仿真图 图6 总体电路的仿真图3.4 顶层逻辑图的设计在仿真验证成功的情况下,在Quartus中构建电路的顶层电路逻辑图,如下图所示: 图7 交通灯控制器的顶层电路第4章 个人总结本次课程设计至此已经接近尾声,两周的时间虽然很短暂,但在这两个星期的设计过程中收获颇丰。设计的核心内容就是Quartus环境中,利用VHDL语言设计出的单行道交通灯的设计。整个设计过程中我首先对所学课程有了更深的了解,因为课程设计本身要求将以前所学的理论知识运用到实际的电路设计当中去,在电路的设计过程中,无形中便加深了对所学知识的了解及运用能力,对课本以及以前学过的知识有了一个更好的总结与理解;这次课程设计对我们的总体电路的设计的要求更严格,需要通过翻阅复习以前学过的知识确立了实验总体设计方案,然后逐步细化进行各模块的设计;其次,在电路仿真的过程中总会出现一些问题,需要我们细心解决,所以这两周下来,我对电路故障的排查能力有了很大的提高;再次,通过此次课程设计,我对设计所用到的软件有了更加

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论