




已阅读5页,还剩9页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
目录实验一 熟悉QuartusII的图形输入法实验二 熟悉QuartusII的VHDL语言描述输入法实验三 JK触发器的设计实验四 6位双向移位寄存器设计实验五 电子钟的VHDL程序设计实验六 7段数码显示译码器设计实验七 预置分频器设计实验八 交通灯控制器实验上机前的注意事项1 实验前应做好的实验准备包括:. 了解实验目的、实验内容和要求. 准备好实验电路和逻辑输入源文件. 认真思考验证设计和进行波形仿真. 思考实验步骤及每步应得到的结果2 实验中细致认真并认真做好实验记录. 试验中要独立思考,有问题可以讨论,但要独立完成实验任务. 记录中间结果、及时做好源文件的拷贝. 实验结果要以仿真结果来说明3. 实验报告要求(1)、实验目的(2)、实验电路图(或输入源文件)(3)、仿真结果 (波形图应体现你的结论或论点)(4)、对思考题的理解或验证(5)、实验收获和体会(只写体会最深的)注意:除了熟悉QuartusII之外,在上机实验时,应做好实验准备(实验内容,电路、源文件,以及实验目的),否则教师有权停止其上机实验。禁止在上机时打游戏、实验报告互相抄袭,由于上机实验有限,请同学利用课余时间主动完成实验内容。有条件的同学可以在自己的计算机上完成所有的实验设计任务实验一 熟悉QuartusII的图形输入法1、实验目的:掌握QuartusII的使用方法(1) 熟悉图形输入法(2) 理解编译方法(3) 了解定时仿真2 、实验内容(1)设计一个二选一数据选择器、全加法器。(2)根据图形输入法编译和波形仿真3 、实验要求(1) 熟悉图形逻辑输入法(2) 理解编译方法;了解功能仿真的方法和定时仿真的方法(3) 了解把逻辑变成一个逻辑符号(Symbol)的方法。(4) 把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤 略5、模块内部电路图实验二 熟悉QuartusII的VHDL语言描述输入法1实验目的: (1) VHDL语言描述输入法(2) 理解编译方法(3) 熟悉波形仿真2 、实验内容(1)设计一个4位并行奇校验发生器(2)根据VHDL语言描述输入法编译和波形仿真3 、实验要求(1) 熟悉VHDL语言描述输入法(2) 理解编译方法;了解功能仿真的方法和定时仿真的方法(3) 了解把逻辑功能变成一个逻辑符号(Symbol)的方法。(4) 把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤 略5、源程序4位并行奇校验发生器源程序:library ieee;use ieee.std_logic_1164.all;entity parity_loop isport (a : in std_logic_vector(0 to 2); b : in std_logic; y : out std_logic);end parity_loop;architecture a of pa rity_loop issignal s : std_logic_vector(0 to 3);beginprocess(a)begins(0)=b;for i in 0 to 2 loops(i+1)=s(i)xor a(i);end loop;y=s(3);end process;end a;实验三 JK触发器的设计1实验目的:掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言2 、实验内容(1)设计一个JK触发器(2)根据VHDL语言描述输入法编译和波形仿真。3 、实验要求(1) 熟悉VHDL语言描述输入法(2) 设计1位带异步复位/置位功能的JK触发器VHDL源程序(低电平有效) (3) 用QuartusII软件编译和波形仿真(4) 把自己认为好的实验结果写成实验报告。(要计成绩)输入输出prnclrclkJKQQb01xxx1010xxx0100xxxxx11上升沿00不变不变11上升沿010111上升沿101011上升沿11翻转翻转其中 预置端prn 复位端clr 时钟端clk4、实验步骤 略实验四 6位双向移位寄存器的设计 1实验目的:掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言(3) 理解if语句进行描述计数器。2 、实验内容(1)设计一个6位双向移位寄存器(2)根据VHDL语言描述输入法编译和波形仿真。3 、实验要求(1) 熟悉VHDL语言描述输入法(2) 设计一个移位寄存器的VHDL程序,既能从高位向低位移动,又能从低位向高位移动。端口说明: 预置数据输入端:predata 脉冲输入端:clk 移位寄存器输出端:dout 工作模式控制端:M1,M0 左移串行数据输入:ds1 右移串行数据输入(低位向高位):dsr 寄存器复位端:reset(3) 用QuartusII软件编译和波形仿真(4) 把自己认为好的实验结果写成实验报告。(要计成绩)(5) 工作模式控制表:M1 M0模式0 0保持0 1右移1 0 左移1 1预加载4、实验步骤 略实验五 电子钟的VHDL程序设计 1实验目的:掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言(3) 掌握VHDL语言描述和图形设计的结合2 、实验内容(1)设计电子钟的VHDL程序(2)根据VHDL语言描述输入法编译和波形仿真。3 、实验要求(1) 熟悉VHDL语言描述输入法(2) 设计一个含时、分、秒的时钟 (3) 用QuartusII软件编译和波形仿真(4) 把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤 略实验六 七段数码显示译码器设计 1实验目的(1) 掌握使用并行下载程序(2) 掌握数码显示的原理(3) 掌握FPGA开发板的基本结构2 、实验内容(1)设计译码器的VHDL程序(由计数器得到译码器的输入值)(2)将VHDL程序下载到FPGA芯片中(3)连接连线,观察数码显示的结果(共阴数码管)3 、实验要求(1) 熟悉VHDL程序下载(2) 设计一个能显示1-9数字的程序,用数码管显示数字(3) 把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤 略5、实验电路图实验七 预置分频器实验 1实验目的(1) 掌握使用并行下载程序(2) 掌握VHDL语言(3) 掌握分频器的设计方法2 、实验内容(1)根据VHDL语言描述输入法编译和波形仿真(2)将VHDL程序下载到FPGA芯片中(3)连接连线,用扬声器听不同分频数的声音3 、实验要求(1) 熟悉VHDL程序下载(2) 设计一个预置分频器,用扬声器测试分频结果(3) 掌握if语句(4) 把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤 略5、实验电路图实验八 交通灯控制器实验(测试) 1实验目的(1) 掌握使用并行下载程序(2) 掌握VHDL语言(3) 掌握时序逻辑电路的设计方法2 、实验内容(1)根据VHDL语言描述输入法编译和波形仿真(2)将VHDL程序下载到FPGA芯片中(3)连接连线,模拟观察交通灯控制的过程3 、实验要求(1) 熟悉VHDL程序下载(2) 设计一个交通灯控制器,用LED显示控制过程(3) 掌握使用process进程(4) 把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤 略 附GW48CK/PK2/PK3/PK4 系统万能接插口与结构图信号/与芯片引脚对照表结构图上的信号名GWAC6EP1C6/12Q240 CycloneGWAC3EP1C3TC144CycloneGWA2C5EP2C5TC144CycloneIIGWA2C8EP2C8QC208CycloneIIGW2C35EP2C35FBGA484C8 CycloneIIWAK30/50EP1K30/50TQC144 ACEX GW3C40EP3C40Q240C8NCycloneIIIGWXS200XC3S200 SPARTAN引脚号引脚号引脚号引脚号引脚号引脚号引脚号引脚号PIO023311438AB1581821PIO1234214410AB1492122PIO22353311AB13102224PIO32364412AB12123726PIO42375713AA20133827PIO52386814AA19173928PIO62397915AA18184129PIO7240102430L19194331PIO81112531J14204433PIO92322633H15214534PIO103332734H14224615PIO114342835G16234916PIO126353037F15265035PIO137363139F14275136PIO148373240F13285237PIO1512384041L18295539PIO1613394143L17305640PIO1714404244K22315742PIO1815414345K21326343PIO1916424446K18336844PIO2017474547K17366945PIO2118484748J22377046PIO2219494856J21387348PIO2320505157J20397650PIO2421515258J19417851PIO2541525359J18428052PIO26128676792E1165112113PIO27132686994E967113114PIO28133697095E868114115PIO29134707196E769117116PIO30135717297D1170118117PIO31136727399D972126119PIO321377374101D873127120PIO331387475102D778128122PIO341397576103C979131123PIO351407679104H780132123PIO361417780105Y781133125PIO371587881106Y1382134126PIO381598386107U2083135128PIO391608487108K2086137130PIO401618592110C1387139131结构图上的信号名GWAC6EP1C6/12Q240 CycloneGWAC3EP1C3TC144CycloneGWA2C5EP2C5TC144CycloneIIGWA2C8EP2C8QC208CycloneIIGW2C35EP2C35FBGA484C8 CycloneIIWAK30/50EP1K30/50TQC144 ACEX GW3C40EP3C40Q240C8NCycloneIIIGWXS200XC3S200 SPARTANPIO411629693112C788142132PIO421639794113H389143133PIO431649896114U390144135PIO441659997115P391145137PIO4516610399116F492146138PIO46167105100117C1095159139PIO47168106101118C1696160140PIO48169107103127G2097161141PIO49173108104128R2098162143PIO60226131129201AB161372262PIO61225132132203AB171382303PIO62224133133205AB181402314PIO63223134134206AB191412325PIO64222139135207AB201422357PIO65219140136208AB71432369PIO662181411373AB814423910PIO672171421394AB11724011PIO68180122126145A10119186161PIO69181121125144A9118185156PIO70182120122143A8117184155PIO71183119121142A7116183154PIO72184114120141A6114177152PIO73185113119139A5113176150PIO74186112118138A4112173149PIO75187111115137A31
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年电子商务行业跨境电商市场前景预测研究报告
- 2025年生物医药科技应用前景研究报告
- 2025年环境监测产业技术创新与市场前景研究报告
- 商场供应商培训课件
- 国家事业单位招聘2025国家文化和旅游部恭王府博物馆应届毕业生招聘4人笔试历年参考题库附带答案详解
- 2025福建龙岩市人力资源服务有限公司招聘6人笔试参考题库附带答案详解
- 2025年甘肃公交建集团校园招聘200人笔试参考题库附带答案详解
- 2025年江西安义县工投商业管理有限公司第一批公开招聘工作人员15人笔试参考题库附带答案详解
- 2025年国网电力公司招聘(第二批)笔试参考题库附带答案详解
- 2025山西杏花村汾酒集团有限责任公司销售业务岗社会招聘笔试参考题库附带答案详解
- 营销策划 -阿那亚品牌手册
- 2025年日历表全年(打印版)完整清新每月一张
- 大众集团英语面试题目(3篇)
- 2025年中国外运股份有限公司招聘笔试参考题库含答案解析
- 口腔门诊6S管理制度
- 神经外科住院医师培训工作总结
- 深圳市房屋租赁合同书(空白)
- 2024年中级经济师《经济基础》考试真题及参考答案
- TSGD7002-2023-压力管道元件型式试验规则
- 《铁路危险货物运输管理规则》
- 人教版(2024新版)七年级上册数学期中模拟检测试卷(含答案)
评论
0/150
提交评论