资源目录
压缩包内文档预览:(预览前20页/共32页)
编号:516926
类型:共享资源
大小:351.23KB
格式:RAR
上传时间:2015-11-12
上传人:QQ28****1120
认证信息
个人认证
孙**(实名认证)
辽宁
IP属地:辽宁
3.6
积分
- 关 键 词:
-
毕业设计论文
- 资源描述:
-
华中科技大学基于CPLD秒表计时器设计,毕业设计论文
- 内容简介:
-
I 设计题目 : 基于 CPLD 秒表计时器设计 院 系 : 一系 专 业 : 电子科学与技术 班 级 : 0 3 0 6 姓 名 : 朱 林 志 指导老师 : 乐 老 师 华中科技大学武昌分校 2006 年 12 月 18 日 nts II 毕业设计 (论文 )任务书 学生姓名 朱 林 志 专业班级 电子科学与技术系 指导教师 乐 雄 军 工作单位 武汉理工大学 设计 (论文 )题目 : 基于 CPLD 秒表计时器设计 设计(论文)主要内容: 1.学习 LPLD语言、实施原理。 2.设计数字电路、数码显示电路。 3.利用实验箱编制并调试出程序。 要求完成的主要任务 : 1. 设计 精度为 1的秒表数字电路。 2. 设计 可调时间的中断装置、数字调节; 3. 设计并调试电路。 主要参考文献: 1.廖裕评 .CPLD 数字电路设计 .清华大学出版社, 2001年 10月 . 2.丁镇生 . CPLD 原理及应用 . 电子工业出版社 , 2003年 1月 . 3. 单片机原理及其应用方面的资料 4电路设计方面的资料。 指导教师签名 系 主 任签名 院长签名 (章 )_ nts III 开题报告 1.设计目的和 意义 本次设计目的主要分为以下几点 : 1.进一步熟 练掌握汇编语言程序设计方法; 2.熟悉秒表的设计思路; 3.熟悉闹铃基本的原理及利用汇编语言设计的思路 4.熟悉时分调整及秒表 /时钟共功能转化的设计思路; 5.熟悉产品开发过程,增强实际动手能力。 计时器在许多领域中均得到普遍应用,诸如在体育比赛、公共汽车到站时间统计中需进行计时和统计。现今的计时器通 常只能通过启 /停按键实现断点计时的功能,即通过启 /停按键来记录一段时间。这种计时器查看的时间只能为计时结束时刻。实际的应用中往往需要在不影响正常计时的基础上,能查看记录过程中的某些点的时间,即中途计时,如记录长跑运动员跑每圈所用时间,以便了解其各阶段的情况。本文即针对此问题,设计了一种能通过按键方式查看记录过程中任一时刻值的计时器。这种计时器在查看中间值时不会影响整个记录过程,并且能把相应数据送入存储模块及显示模块,以便查看。整个系统的设计借助于 VHDL和数字逻辑电路,在EDA设计工具 MaxplusII下进 行仿真,得到了良好的结果。由于采用模块化的设计思想,使设计变得 简单、方便、灵活性强。 2.基本内容 和技术方案 根据计时器的功能特点,具体实现时可划分为 6 个子模块:键输入模块,时钟分频模块,控制模块,秒表计时模块,计时存储模块和显示模块。各模块的功能独立,可扩充性强,具有再次开发的潜力 。 模块设计 : 键输入模块 : 计时器的输入控制为按键方式,由于手动按键,会产生开关簧片反弹引起的电平抖动现象,为保证系统能捕捉到输出脉冲,在每一个开关后面安排一个消抖和同步电路,以并保证每按一键,只形成一个宽度为系统时钟周期的脉冲 。 时钟分频模块 : 时钟分频模块的功能是将频率为 1000Hz 的外部时钟信号 clk 进行分频,从而产生用来消除抖动的 25Hz 的时钟信号 clk1 和用于计时器内部定时计数的 100Hz 的时钟信号 clk0。 nts IV 由于计时器系统使用的时钟信号 clk1 和时钟信号 clk0 的有效脉冲宽度均为 1ms,则需对分频产生的信号进行处理 。本系统将 4 分频产生的信号 clk1_tmp 与 10 分频产生的信号 clk0_tmp相与,来得到有效脉冲宽度为 1ms的 25Hz时钟信号 clk1。 控制模块 : 控制模块的功能是用来控制计时模块的工作。当系统电源复位信号sysreset 或内部复位信号 reset0 有效时,控制模块的输出 enable 信号无效;当reset0 和 sysreset 都无效,且 on/off 0 有效时, enable 信号有效,直到下一次on/off 0 有效时 enable 才变成无效。( reseto 、 on/off0 为去抖后的信号) 秒表计时模块 :秒表计时模块用来实现秒表内部定时计数功能。 该模块受复位信号reset0、使能信号 enable 和时钟信号 clk0 的控制。在使能信号 enable 有效时,计时模块开始计时,并产生相应的计时输出和进位信号。模块内部采用三进制、 四进制、十进制和六进制计数器实现时、分、秒的计时,最长可记录 24 小时。 时间存储模块 : 时间存储模块的作用是对计时数据进行存储。当 en 键未按下时,系统将计时模块产生的计时值送入存储模块进行锁存同时送给显示模块进行显示;当en 键按下时,存储模块则不锁存计时值,而保留按键时刻的时间并送给显示模块,实现中途计时的功能。当 en 按键复位时,存储模块再一次重复前面的过程,实现对下一中途时刻进行计时。 显示译码模块 : 显示模块用来显示计时模块输出的即时计时和中途计时结果。为了降低功耗,采用循环点亮 LED 七段显示数码管的方法来 显示计时输出,即用choose(7 downto 0)信号选择位显示, segment(6 downto 0)信号用以确定相应位上显示的数据和段。对于计时位选择电路,由于其输出端口的计时数据 q 的位数既有 2 位的、 3 位的还有 4 位的,而七段显示译码电路的输入端口接收四位宽度数据,因此在计时位选择电路中还需将计时数据转化为 4 位宽度的数据。 3.进度 安排 上学期 第 11-16周,完成开题 报告; 上学期 第 17-22 周, 进行毕业设计; 下学期 第 1-2 周 ,进行毕业设计; 下学期第 3周 , 论文格式审查; 下学期 第 4-5 周, 撰写论文 ; 下学期 第 6 7 周, 答辩。 4.指导老师审 查 意见 nts V 摘 要 现今的计时器通常只能通过启 /停按键实现断点计时的功能,即通过启 /停按键来记录一段时间。这种计时器查看的时间只能为计时结束时刻。实际的应用中往往需要在不影响正常计时的基础上,能查看记录过程中的某些点的时间 。 本论文 即针对此问题,设计了一种能通过按键方式查看记录过程中任一时刻值的计时器。这种计时器在查看中间值时不会影响整个记录过程,并且能把相应数据送入存储模块及显示模块,以便查看。 关键词 : CPLD; 秒表计时 ; MaxplusII;仿真; 功能模块 。 nts VI Abstract I Calculagraph in the nowadays can only generally by opening/ the function stopping a button realizing breaking point timing, namely by opening/ a period of time coming to take notes stopping a button. The time that this calculagraph checks can only be to reckon by time ending moment. Sometimes need in actual application on not affecting the time regular basis , can check the time recording some in process. The thesis is specifically for this problem , the way having designed that one kind can pass a button checks value calculagraph recording any process middle moment. This calculagraph can not affect entire precis writer process when checking centre value , can send corresponding data in memory module and the display module moreover, to check. Key words: CPLD; Manual time-keeping; MaxplusII; Function module nts VII 目 录 封面 I 任务书 II 开题报告 III 摘要 . V Abstract . VI 绪论 . 8 第一章 计时器的 总体设计 . 9 1 1 计时器 总 体设计结构 . 错误 !未定义书签。 1 2 系统设计方案 . 9 1 3 总体设计及其工作原理 . 10 1 4 主要功能 . 13 1 5 本章小结 . 错误 !未定义书签。 第二章 硬件设计 . 15 2 1 芯片设计 . 15 2 2 主体功能设计 . 24 2 3 详细功能及状态 . 25 2 4 参考模块设计 26 2 5 设计提示 . 27 结论 . 30 致谢 . 31 参考文献 . 32 nts 8 绪 论 本章首先论述一下 计时器 的设计基本原理和实际方案 ,然后论述一下研究计时器 的目的和意义。 本课题的内容是 基于 CPLD的秒表计时器的设计 。 现今的计时器通常只能通过启 /停按键实现断点计时的功能,即通过启 /停按键来记录 一段时间。这种计时器查看的时间只能为计时结束时刻。实际的应用中往往需要在不影响正常计时的基础上,能查看记录过程中的某些点的时间, 本文 针对此问题,设计了一种能通过按键方式查看记录过程中任一时刻值的计时器。这种计时器在查看中间值时不会影响整个记录过程,并且能把相应数据送入存储模块及显示模块,以便查看。整个系统的设计借助于 VHDL和数字逻辑电路,在 EDA设计工具MaxplusII下进行仿真,得到了良好的结果。由于采用模块化的设计思想,使设计变得 简单、方便、灵活性强。 另外在单一的显示秒表功能基础上进行了功能扩展, 让计时器可以实现: 时间显示,跑表,校时,闹钟四大功能。 nts 9 第一章 计时器的 总 体设计 1 1 计时器 总 体设计结构 设计原理(工作原理) 如图 1 所示,为计时器的整体结构框图。其中 Sysreset 为电源复位信号,实现系统的掉电复位,在计时器开启时用到。 Reset 可对每次操作进行数值清零复位,为计时做好准备。 clk 为系统时钟信号。 on/off 为计时的启 /停控制信号,计时开始时只需按下该控制信号。这时,通过输出线 choose(7 downto 0)来选择指定的一位 LED 七段数码显示管, 并通过输出线 segment( 6 downto 0)来点亮指定位上的某一段。其中, choose(7 downto 0) 以 125Hz 的频率使 8 个 LED数码管按次序依次点亮,得到一个无闪烁的稳定的计时输出,计时精度为 0.01秒。计时完毕,按下 on/off 控制信号,终止计时操作。该计时器最长记录时间为24 小时。 当进行中途计时时,可持续按住 en 键,此时内部时钟不停,显示按下 en键时刻的时间,松手后,即跳变回当前时间,不影响记录过程,可以得到分段计时结果。 1 2 系统设计方案 根据计时器的功能特点,具体实 现时可划分为 6 个子模块:键输入模块,时钟分频模块,控制模块,秒表计时模块,计时存储模块和显示模块。各模块的功能独立,可扩充性强,具有再次开发的潜力 。各模块之间的关系如图 2 所示。 nts 10 1 3 总体设计及其工作原理 1 3 1 模块设计 ( 1) 键输入模块 计时器的输入控制为按键方式,由于手动按键,会产生开关簧片反弹引起的电平抖动现象,为保证系统能捕捉到输出脉冲,在每一个开关后面安排一个消抖和同步电路,以并保证每按一键,只形成一个宽度为系统时钟周期的脉冲。图 3即为采用兼具消抖和同步功能的电路,它能产生与系 统时钟周期相同宽度的 1ms时钟脉冲。 ( 2) 时钟分频模块 nts 11 时钟分频模块的功能是将频率为 1000Hz 的外部时钟信号 clk 进行分频,从而产生用来消除抖动的 25Hz 的时钟信号 clk1 和用于计时器内部定时计数的100Hz 的时钟信号 clk0。 由于计时器系统使用的时钟信号 clk1 和时钟信号 clk0 的有效脉冲宽度均为1ms,则需对分频产生的信号进行处理 。本系统将 4 分频产生的信号 clk1_tmp与 10 分频产生的信号 clk0_tmp 相与,来得到有效脉冲宽度为 1ms 的 25Hz 时钟信号 clk1。 ( 3) 控制模块 控制模 块的功能是用来控制计时模块的工作。当系统电源复位信号 sysreset或内部复位信号 reset0 有效时,控制模块的输出 enable 信号无效;当 reset0和 sysreset 都无效,且 on/off 0 有效时, enable 信号有效,直到下一次 on/off 0有效时 enable 才变成无效。( reseto 、 on/off0 为去抖后的信号) 在此,采用了一个乒乓信号,该信号在启停信号 on/off 0 有效时就进行一次反相操作,然后以这个信号作为选通信号,从而得到计数允许信号 enable。 ( 4) 秒表计时模块 秒表计时模块 用来实现秒表内部定时计数功能。如图 4 所示,该模块受复位信号 reset0、使能信号 enable 和时钟信号 clk0 的控制。在使能信号 enable 有效时,计时模块开始计时,并产生相应的计时输出和进位信号。模块内部采用三进制、四进制、十进制和六进制计数器实现时、分、秒的计时,最长可记录 24小时。 ( 5) 时间存储模块 时间存储模块的作用是对计时数据进行存储。当 en 键未按下时,系统将计时模块产生的计时值送入存储模块进行锁存同时送给显示模块进行显示;当 en键按下时,存储模块则不锁存计时值,而保留按键时刻的时间并送给显示模 块,实现中途计时的功能。当 en 按键复位时,存储模块再一次重复前面的过程,实现对下一中途时刻进行计时。 nts 12 ( 6) 显示译码模块 显示模块用来显示计时模块输出的即时计时和中途计时结果。为了降低功耗,采用循环点亮 LED 七段显示数码管的方法来显示计时输出,即用 choose(7 downto 0)信号选择位显示, segment(6 downto 0)信号用以确定相应位上显示的数据和段。 如图所示,显示模块由四个部分构成:八进制计数器 count8、计时位选择电路、七段显示译码电路和显示位选择译码电路。其中显示位选择译 码电路是根据八进制计数 count8 的计数输出信号 sel,产生用来选通一个 LED 七段显示数码管的 choose 信号。计时位选择电路则根据八进制计数器输出信号 sel,选择对应计时显示位的计时数据,确定 LED 七段显示数码管的 segment 信号。 对于计时位选择电路,由于其输出端口的计时数据 q 的位数既有 2 位的、 3位的还有 4 位的,而七段显示译码电路的输入端口接收四位宽度数据,因此在计时位选择电路中还需将计时数据转化为 4 位宽度的数据。 nts 13 1 4 主要功能 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时 器是用一块专用的芯片,用 VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括 1/100s计时器所有的控制和定时功能,其体积小,携带方便。 计时器的设计功能: ( 1) 精度应大于 1/100s ( 2) 计时器的最长计时时间为 1小时 在一般的短时间计时应用中, 1小时应该足够了。为此需要一个 6位显示器,显示最长时间为 59分 59.99秒。 ( 3) 设置复位和启 /停开关 复位开关用来使计时器清 0,并作好清 0准备。启 /停开关的使用方法与传统的机械计时器相同,即按一下启 /停开关,启动 计时器开始计时,再nts 14 按一下启 /停开关计时终止。复位开关可以在任何情况下使用,即使在计时过程中,只要按一下复位开关,计时进程应立即终止,并对计时器清零。 1 5 本章 小结 本设计从总体要求出发,采用自顶向下逐步细化的方法,将系统模块化,使得系统具有很强的扩充性,并且在实现设计的基础上,采用 maxplus 的仿真环境得到理想的仿真效果。本设计完成的中途计时功能,实现了在许多特定场合进行时间追踪的功能,在社会生活中具有广泛的应用价值。 nts 15 第二章 硬件设计 2 1 芯片设计 各模块程序 及生成的符号文件如下: 键输入模块 (keyin模块 ),时钟分频 模块 (clkgen模块 ),控制模块 (ctrl子模块 )和 秒表计时模块 (cntblk 模块 ), 2 1 1 键输入模块 (keyin 模块 ) 该模块的描述是为了产生单个复位脉冲 res和启停脉冲 stst.整个功能模块用两个进程语句描述。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity keyin is port(reset,start_stop,clk :in std_logic; res,stst :out std_logic); end entity; architecture a of keyin is signal res0,res1,stst0,stst1 :std_logic; begin process(clk) begin if(clkevent and clk=0)then nts 16 res1 分 Key2 - 秒 清 0 Key2 - 24/12小时切换 Key2 - 年 Key2 - 月 Key2 - 日 Key2 - 星期 Key2 - 调分 -闹钟开关 -整点提示开关 -调小时 调节方式同上。 按 Key3(ModeKey)回到时间显示模式。 2 4参考模块设计 2 4 1 按键接口模块 功能: 1)消除按键的抖动,输出平稳的电平 2)跟据不同模块的需要输出不同宽度的电平。 如:输入到跑表的按键电平宽度应与状态机所用时钟的宽度相同。在设定时间和闹钟时,长按 Key3需要输出快速调节脉 冲。 2 4 2 分频模块 : 由输入的时钟得到需要的各种基准频率,详细讨论见设计提示。 2 4 3 模式切换模块: 通过按键切换当前模式及设置选择。 输入: ModeKey, SetSelKey 输出:当前显示模式 Mode,设置对像选择 SetSel 注意一点的是:当 Mode变化时, SetSel应自动清 0。 2 4 4 计时 /校时模块 根据 Mode、 SetSel的不同,对各时间部分进行计数及设置 输入: 1Hz脉冲, Mode, SetSel,设置脉冲等 输出:秒、分、小时、日、月、年和星期,并且小时需要 24/12小时制两种输出 2 4 5 设定闹钟模块 : 输入: Mode, SetSel,设置脉冲、当前时间等 输出:所定时间,小时也需要 24/12小时制两种输出 2 4 6 秒表模块 : nts 27 输入: Mode, StartPauseKey, HoldResetKey 输出:当前计时, Holding状态所保持的时间 2 4 7 闹钟控制及波形产生模块 到了设定时间,输出闹铃波形至蜂鸣器 闹铃: 1秒四个节拍:嘀 -嘀 -( -表示无声,长度 1/8秒)声音频率: 1kHz左右 整点提示音:四低一高,低音 500Hz左右, 高音 1kHz左右, 59分钟最后十秒声音为: 低 低 低 低 高,( 表示无声,长度 1秒) 2 4 8 显示控制模块 控制不同模式下显示不同的内容,以及调节时闪烁显示。 2 5 设计提示 2 5 1 关于输入时钟 试验板上可以输入 4路时钟,并有多钟频率可以选择(详见后文实验板资源),问题:输入几路时钟?各多少赫兹?如何分频得到所需频率? 提示:选择时钟源的原则是:输入的时钟源尽量少,内部分频器也要尽量少。先查看一下需要哪些时钟。 计时的基准时钟: 1Hz 跑表的基准时钟: 100Hz 数字闪烁显示: 2Hz 闹铃 /整点提示音:节拍控制 4Hz/1Hz,及声音频率 1kHz/500Hz左右 快速调节:每秒 8-10次 七段码扫描显示: =200Hz(每位数字至少 25Hz, 8位扫描至少要 200Hz的扫描频率) 参考一:输入两路时钟源: 8Hz和 4096Hz 8Hz经分频得到: 4Hz, 2Hz, 1Hz 4096Hz经分频得到音频与数码管的扫描脉冲: 1024Hz, 512Hz 4096Hz经 41分频得到: 100Hz(误差小于 0.1%) 参考二:输入一路时钟源,由 4096Hz分频得到全部所需时钟。 2 5 2 关于星期 星期的设定可以有自动和手动两种方案,自动是指根据当前年、月、日自动确定星期几;手动方案是需要自己设定好星期,然后星期与日历同步走。手动设计相对简单一些,自动则需要利用 Altera 芯片提供的 EAB 来实现 ROM 型的查找表。做查找表时要考虑 EAB 的资源有限, EPF10K10 共 3 个 EAB,每个 2048 字节。 问题:一个 EAB能放下几年的星期查找表?如果用一个表查找 2000-2009年的星期,需要多大的查找表?太大怎么办? 提示:可以试试用两个表分步查找。 nts 28 2 5 3 关于 24/12小时制 设计中时间与闹钟定时都 需要小时在两钟模式下显示,设计不好就会增加很多资源的开销。有三种设计方案: 1)两套计数器分别计 24/12小时制的时间。 2)只计数 24制小时,用组合逻辑来转换 24-12 3)用查找表来转换。注意的是两个地方都要转换,如何同享同一个查找表? 24/12小时对照表 24: 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 13 17 18 19 20 21 22 23 12: 12 1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 AM PM 2 5 4 关于跑表的状态机设计 问题:这是个什么类型的状态机?如何设计? 提示:所需输出的变量 Runing, Holding与状态有关,与输入无关,但需要控制的清 0、刷新保持显示的值不仅与状态有关,与输入也有关。但是可以设计三个状态下状态变量 S的值分别为: 00/01/11(格雷码),则 Runing对应 S的低位,Holding对应 S的高位,这样需要改变的只有清 0与刷新保持值的信号,可以当作Merly状态机来设计。 2 5 5 关于按键除抖动及固定宽度脉冲输出 按键除抖动的原理是:当检测到一个 高电平时,延时一段时间(如 10ms)再检测,如果仍是高电平就表明按键确实按下了。释放按键也是同样的检测方法。 固定宽度脉冲生成的原理是:在去除抖动的基础上,判断两个经过不同延时的按键信号,如果前一时钟检测到的是低电平,这一时钟检测到高电平,说明按键按下,输出一个时钟周期宽度的高电平。 2 5 6 关于试验板的资源与仿真模式 本实验中需要利用到的有时钟源、按键、数码管、 LED 和蜂鸣器。实验板上可以选择不同的模式来选择不同的输入输出方式,按使用说明书,本实验可选择模式三、七、八。后两种控制显示效果的能力强一 些,做起来也相对复杂。 先介绍一下几种模式下的引脚定义,比较优缺点,并给出相关设计提示。 三种模式下时钟源、 LED、蜂命器的定义完全相同: 资源名称 引脚名称 引脚号 功能 时钟 CLK0 3 1/4/16/64/1024/4096/16384/65536/12M/24M/48M CLK1 5 1/2/8 CLK2 6 1024/4096/32768 CLK3 7 12M/24M/48M LED D8/D7/D6/D5 81/80/79/78 红 /黄 /绿 /绿 D4/D3/D2/D1 73/72/71/70 绿 /绿 /黄 /红 蜂鸣器 SPK 83 按键输入在三种模式下管脚号相同,但输入的电平模式不同 资源名称 引脚名称 引脚号 模式三 模式七 模式八 按键 K8/K7/K6/K5 19/18/17/16 琴键电平 乒乓电平 乒乓电平 nts 29 K4/K3/K2/K1 11/10/9/8 琴键电平 乒乓电平 2ms脉冲 琴键电平是指按下时输出高电平,释放恢复低电平 乒乓电平是指按一次变成高电平,再按一次变成低电平,如此反复 数码管的输出在三钟模式下完全不同: 在模式三,每个数码管有 4个引脚 作为 8421码输入,经内部译码,显示 0-F十六进制数; 模式七: 8个数码管并联成动态扫描显示器,共 12个引脚,其中 4个作为公用的显示数值输入端, 8个为输出的选择端。也就是说,每一时刻 8个选择端只有一个高电平,其余为低电平,输入的数值显示在高电平对应的数码管上。 模式八:也是动态扫描显示,不同的有 16个引脚,除 8个为输出的选择端外,另八个对应七段码的每一段及小数点。 几种模式相比较,模式三输出最简单,但不能控制数码管的亮与灭。模式七的显示比较实用一些,可以控制亮与灭,但不能点亮数码管的点号,缺少各时间部分的分 隔号。模式八功能最强,除了可以点亮点号作为分隔符外,还可以显示非标准的字符,比如可以显示 P来指示下午。 虽然模式七、八能控制数码管的亮与灭,但不足之处是按键都没有符合需要的琴键电平,使用起来不太方便。只能选择乒乓电平,每次需要按两次来完成实际需要的一次按键。 各人可以选做其中一种模式,建议使用模式七。各种模式参考设计如下: 模式三:如果想控制某个数码管灭或闪烁,输出 F与正常显示的数字相区别。(输出 Z是无效的,默认输出是 0) 模式七 /八:需要三组 8位的状态码来控制分别控制扫描输出、亮与灭、闪烁。 扫描码: ScanCode,由 8位循环移位计数器还控制,扫描时钟频率 200Hz。 使能位 : LEDEnable,为 1的位对应用数码管才亮。 闪烁位: Blink,为 0的位闪动 三组状态码与闪烁用的 2Hz脉冲组合起来控制相应数码管的显示。 三种模式下的数码管管脚定义: 模式三: 数码管号 位 管脚号 数码管 8 B3/B2/B1/B0 69/67/66/65 数码管 7 B3/B2/B1/B0 64/62/61/60 数码管 6 B3/B2/B1/B0 59/58/54/53 数码管 5 B3/B2/B1/B0 52/51/50/49 数码管 4 B3/B2/B1/B0 48/47/39/38 数码管 3 B3/B2/B1/B0 37/36/35/30 数码管 2 B3/B2/B1/B0 29/28/27/25 数码管 1 B3/B2/B1/B0 24/23/22/21 模式七 /八 管脚名称 管脚号 动态数码选择 8/7/6/5/4/3/2/1
- 温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

人人文库网所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。