




全文预览已结束
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
数字电路实验(下)申请报告VHDL实现简易函数发生器姓名:杨帆班级:07118班内小号:26学号:一 设计课题的任务要求A.实验目的1. 熟练掌握 VHDL 语言和QuartusII 软件的使用;2. 理解状态机的工作原理和设计方法;3. 掌握利用 EDA 工具进行自顶向下的电子系统设计方法;4. 熟悉 D/A 变换电路的设计;B.实验任务基本任务:设计制作一个简易函数发生器。1. 输出波形为方波和三角波,频率范围为1kHZ2kHZ;2. 频率可进行调节并用数码管显示,加、减步进均为100HZ;3. 输出三角波的峰峰值为45V,方波幅度为TTL 电平的大小;提高要求:1. 产生频率范围为1kHZ2kHZ、峰峰值为45V 的正弦波信号;2. 产生占空比可调的矩形波或其它波形;3. 自拟其它功能。二 设计思路、总体框图设计思路:用VHDL语言结合原理图编辑方式设计实现一个函数信号发生器,输出频率可调方波和三角波,正弦波三种波形。将波形选择、频率控制与分频、三角波、正弦波、方波发生各个模块分别用VHDL语言编程为一个子程序,并把每一个模块转换成图形文件,然后在原理图编辑框调用这些图形模块。由于考虑到按键开关工作的不确定性,通过拨码开关模块的SW0到SW3控制频率调节f3.0,用SW4、SW5控制DELTA、SINE、SQUARE波形选通,最后把八位输出接开发板上的DA模块(TLV5638),通过D/A转换(信号可能还需外界电路放大),从示波器上就能看到波形输出。在按键模块,用户可以调节方波输出的占空比(并不确定能否实现该功能,视进度而定)。如下分别是简易函数发生器的结构框图、逻辑划分框图、逻辑流程图和MDS图。(图一:简易函数发生器的结构图)(图二:简易函数发生器的逻辑划分方框图)有关图二的说明:各个模块的参数传递与入口、出口设置:main.vhd : s : in std_logic_vector(1 downto 0);-由SW4和SW5产生,用于状态选择delta : in std_logic_vector(7 downto 0); -由三角波发生模块产生sine : in std_logic_vector(7 downto 0); -由正弦波发生模块产生square : in std_logic_vector(7 downto 0); -由方波发生模块产生q_out : out std_logic_vector(7 downto 0); -输出用于DA转换的8位序列frq.vhd : clk : in std_logic;-由实验板的晶振产生的时钟信号f : in std_logic_vector(3 downto 0);-由SW0SW3产生的分频选择信号clk_m : out std_logic;-输出特定频率的脉冲信号,作为各个模块的时钟led : out std_logic_vector(6 downto 0);-输出用于点亮数码管的信号cat : out std_logic_vector(5 downto 0);-输出用于数码管选通的信号dlt_gen.vhd :clk_m : in std_logic;-经过分频的时钟信号delta : out std_logic_vector(7 downto 0);-输出8位三角波波形的用于DA转换的序列sin_gen.vhd :clk_m : in std_logic;-经过分频的时钟信号sine : out std_logic_vector(7 downto 0);-输出8位正弦波波形的用于DA转换的序列sqr_gen.vhd :clk_m : in std_logic;-经过分频的时钟信号duty_plus : in std_logic;-BTN0产生的脉冲信号,用于增加方波占空比duty_minus : in std_logic;-BTN1产生的脉冲信号,用于减少方波占空比square : out std_logic_vector(7 downto 0);-输出8位方波波形的用于DA转换的序列(图三:简易函数发生器的逻辑流程图)(图四:简易函数发生器的MDS图)简要说明:1)用户操作拨码开关SW0SW3产生频率选择信号,1KHz到2KHz,步进量为100Hz,一共11档,16个状态,5个位冗余状态。2)正弦波通过查询存储好的正弦波数据表sin_dat_ro
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 古建拆除重建方案范本
- 核安全 题库及答案解析
- 当涂安全员证考试题库及答案解析
- 苏州市教学七认真课件
- 英语新视野2教学课件
- 承包驾校方案范本
- 高速有砟轨道施工方案
- 永嘉成品阻燃板施工方案
- 别墅应急防水方案范本
- 辐射安全题库含及答案解析
- 上锁挂牌管理培训课件
- 节能减排培训课件
- 葡萄冷藏保鲜技术规程
- 顾客联络服务 人工与智能客户服务协同要求 编制说明
- 以人为本的医院护理服务体系构建
- 与政府签订项目合同范本
- 2025年湖北省中考数学真题试题(含答案解析)
- 2025年农险初级核保考试题库
- 医院汽车管理办法
- 珠海市香洲区2026届六年级数学第一学期期末检测试题含解析
- 2025年建筑电工建筑特殊工种理论考题及答案
评论
0/150
提交评论