《手把手教你DSP-2812》课件解析_第1页
《手把手教你DSP-2812》课件解析_第2页
《手把手教你DSP-2812》课件解析_第3页
《手把手教你DSP-2812》课件解析_第4页
《手把手教你DSP-2812》课件解析_第5页
已阅读5页,还剩82页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、教程目的,配套手把手教你学DSP-基于TMS320X281x 配套HELLODSP生产的仿真器和开发板产品 更容易更快的掌握DSP的开发,概述,全书内容 讲解顺序,第1章如何开始DSP的学习和开发,1.1DSP基础知识 1.2如何选择DSP 1.3DSP开发所需要准备的工具以及开发平台的搭建 1.4如何学好DSP,1.3.1CCS的版本 1.3.2CCS3.3的安装 1.3.3仿真器的安装 1.3.4SetupCodeComposerStudiov3.3的配置 1.3.5基于HDSPSuper2812开发平台的搭建,1.4.1众多工程师的讨论和经验 1.4.2作者的建议,1.2.1DSP厂商介

2、绍 1.2.2TI公司各个系列DSP的特点 1.2.3TIDSP具体型号的含义 1.2.4C2000系列DSP选型指南,1.1.1什么是DSP 1.1.2DSP的特点 1.1.3DSP与MCU、ARM、FPGA的区别 1.1.4学习开发DSP所需要的知识,第2章TMS320X2812的结构、资源及性能,2.1TMS320X2812的片内资源 2.2TMS320X2812的引脚分布及引脚功能,2.1.1TMS320X2812的性能2.1.2TMS320X2812的片内外设,2.2.1TMS320X2812的引脚分布 2.2.2TMS320X2812的引脚功能,第3章TMS320X281x的硬件设

3、计,3.1如何保证X2812系统的正常工作 3.2常用硬件电路的设计 3.3D/A电路的设计以及波形发生器的实现,3.2.1TMS320X2812最小系统设计3.2.2电源电路的设计3.2.3复位电路及JATG下载口电路的设计3.2.4外扩RAM的设计3.2.5外扩Flash的设计3.2.6PWM电路的设计3.2.7串口电路的设计3.2.8A/D保护及校正电路的设计3.2.9CAN电路的设计,第4章如何构建一个完整的工程,4.1一个完整的工程由哪些文件构成 4.2通用扩展语言GEL 4.3手把手教你创建新的工程,4.1.1头文件 4.1.2库文件 4.1.3源文件 4.1.4CMD文件,4.2

4、.1GEL语法 4.2.2GEL函数 4.2.3GEL语句 4.2.4加载或卸载GEL函数 4.2.5使用关键字在GEL菜单中添加GEL函数 4.2.6CCS自带的GEL函数 4.2.7解读c2812.gel文件 4.2.8体验有趣的GEL函数,第5章CCS3.3的常用操作,5.1了解CCS3.3的布局和结构 5.2编辑代码时的常用操作 5.3编辑完成后常用的操作 5.4调试时常用的操作,5.2.1创建新的工程 5.2.2打开已存在的工程 5.2.3新建一个文件 5.2.4向工程添加文件 5.2.5移除工程中的文件 5.2.6给工程添加库文件 5.2.7查找变量 5.2.8替换变量 5.2.9

5、查看源码,5.3.1生成可执行代码 5.3.2链接目标板上的DSP 5.3.3将可执行文件下载入DSP 5.3.4运行、暂停程序,5.4.1添加、移除断点 5.4.2单步调试 5.4.3使用WatchWindow观察变量 5.4.4保存并导出变量的值 5.4.5观察指定存储空间内的数据 5.4.6统计代码的运行时间 5.4.7在CCS3.3中显示图形,第6章使用C语言操作DSP的寄存器,6.1寄存器的C语言访问 6.2寄存器文件的空间分配,6.1.1了解SCI的寄存器 6.1.2使用位定义的方法定义寄存器 6.1.3声明共同体 6.1.4创建结构体文件,第7章 存储器的结构、映像及CMD文件的

6、编写,7.1存储器相关的总线知识 7.2F2812的存储器 7.3CMD文件 7.4外部接口XINTF 7.5手把手教你访问外部存储器,7.2.1F2812存储器的结构 7.2.2F2812存储器映像 7.2.3F2812的各个存储器模块的特点,7.3.1COFF格式和段的概念 7.3.2C语言生成的段 7.3.3CMD文件的编写,7.4.1XINTF的存储区域 7.4.2XINTF的时钟,7.5.1外部RAM空间数据读/写 7.5.2外部Flash空间数据读/写,第8章X281x的时钟和系统控制,8.1振荡器OSC和锁相环PLL 8.2X2812中各种时钟信号的产生 8.3看门狗电路 8.4

7、低功耗模式 8.5时钟和系统控制模块的寄存器 8.6手把手教你写系统初始化函数,第9章通用输入/输出多路复用器GPIO,9.1GPIO多路复用器 9.2手把手教你使用GPIO引脚控制LED灯闪烁,9.1.1GPIO的寄存器 9.1.2GPIO寄存器位与I/O引脚的对应关系,第10章CPU定时器,10.1CPU定时器工作原理 10.2CPU定时器寄存器 10.3分析CPU定时器的配置函数,第11章X2812的中断系统,11.1什么是中断 11.2X2812的CPU中断 11.3X2812的PIE中断 11.4X281x的三级中断系统分析 11.5成功实现中断的必要步骤 11.6手把手教你使用CP

8、U定时器0的周期中断来控制LED灯的闪烁,11.2.1CPU中断的概述 11.2.2CPU中断向量和优先级 11.2.3CPU中断的寄存器 11.2.4可屏蔽中断的响应过程,11.3.1PIE中断概述 11.3.2PIE中断寄存器 11.3.3PIE中断向量表,第12章事件管理器EV,12.1事件管理器的功能 12.2通用定时器 12.3比较单元与PWM电路 12.4捕获单元 12.5正交编码电路 12.6事件管理器的中断及其寄存器 12.7手把手教你产生PWM波形,12.2.1通用定时器的时钟 12.2.2通用定时器的计数模式 12.2.3通用定时器的中断事件 12.2.4通用定时器的同步

9、12.2.5通用定时器的比较操作和PWM波 12.2.6通用定时器的寄存器,12.3.1全比较单元 12.3.2带有死区控制的PWM电路 12.3.3比较单元的中断事件 12.3.4比较单元的寄存器,12.4.1捕获单元的结构 12.4.2捕获单元的操作 12.4.3捕获单元的中断事件 12.4.4捕获单元的寄存器,12.7.1输出占空比固定的PWM波形 12.7.2输出占空比可变的PWM波形,第13章模/数转换器ADC,13.1X281x内部的ADC模块 13.2ADC模块的工作方式 13.3ADC模块的中断 13.4ADC模块的寄存器 13.5手把手教你写ADC采样程序 13.6ADC模块

10、采样校正技术,13.1.1ADC模块的特点 13.1.2ADC的时钟频率和采样频率,13.2.1双序列发生器模式下顺序采样 13.2.2双序列发生器模式下并发采样 13.2.3级联模式下的顺序采样 13.2.4级联模式下的并发采样 13.2.5序列发生器连续自动序列化模式和启动/停止模式,13.6.1ADC校正的原理 13.6.2ADC校正的措施 13.6.3手把手教你写ADC校正的软件算法,第14章串行通信接口SCI,14.1SCI模块的概述 14.2SCI模块的工作原理 14.3SCI多处理器通信模式 14.4SCI模块的寄存器 14.5手把手教你写SCI发送和接收程序,14.1.1SCI

11、模块的特点 14.1.2SCI模块信号总结,14.2.1SCI模块发送和接收数据的工作原理 14.2.2SCI通信的数据格式 14.2.3SCI通信的波特率 14.2.4SCI模块的FIFO队列 14.2.5SCI模块的中断,14.5.1查询方式实现数据的发送和接收 14.5.2中断方式实现数据的发送和接收 14.5.3采用FIFO来实现数据的发送和接收,14.3.1地址位多处理器通信模式 14.3.2空闲线多处理器通信模式,第15章串行外设接口SPI,15.1SPI模块的通用知识 15.2X281xSPI模块的概述 15.3SPI模块的工作原理 15.4SPI模块的寄存器 15.5手把手教你

12、写SPI通信程序,15.2.1SPI模块的特点 15.2.2SPI的信号总结,15.3.1SPI主从工作方式 15.3.2SPI数据格式 15.3.3SPI波特率 15.3.4SPI时钟配置 15.3.5SPI的FIFO队列 15.3.6SPI的中断,第16章增强型控制器局域网通信接口eCAN,16.1CAN总线的概述 16.2CAN2.0B协议 16.3X281xeCAN模块的概述 16.4X281xeCAN模块的寄存器 16.5X281xeCAN模块的配置 16.6eCAN模块的中断 16.7手把手教你实现CAN通信,16.1.1什么是CAN 16.1.2CAN是怎样发展起来的 16.1.

13、3CAN是怎样工作的 16.1.4CAN有哪些特点 16.1.5什么是标准格式CAN和扩展格式CAN,16.2.1CAN总线帧的格式和类型 16.2.2CAN总线通信错误处理 16.2.3CAN总线的位定时要求 16.2.4CAN总线的位仲裁,16.3.1eCAN模块的结构 16.3.2eCAN模块的特点 16.3.3eCAN模块的存储空间 16.3.4eCAN模块的邮箱,16.5.1波特率的配置 16.5.2邮箱初始化的配置 16.5.3消息的发送操作 16.5.4消息的接收操作,16.7.1手把手教你实现CAN消息的发送 16.7.2手把手教你实现CAN消息的接收(中断方式,第17章基于H

14、DSPSuper2812的开发实例,17.1谈谈通常项目的开发过程 17.2设计一个有趣的时钟日期程序 17.3设计一个SPWM程序 17.4代码烧写入Flash固化,17.2.1硬件设计 17.2.2软件设计(含I2C接口程序,17.3.1原理分析 17.3.2软件设计,第一次课主要内容及讲解顺序,第一章 如何开始DSP的学习和开发,第二章 TMS320X2812的结构、资源和性能,第九章 通用输入/输出多路复用器GPIO,第三章 TMS320X281x的硬件设计,第1章 如何开始DSP的学习与开发,1.1 DSP基础知识,DSP的前身是TI公司设计的用于玩具上的一款芯片,经过二三十年的发展

15、,在许多科学家和工程师的努力之下,如今DSP已经成为数字化信息时代的核心引擎。 被广泛应用于通信(手机)、家电(变频空调)、航空航天、工业测量、控制、生物医学工程以及军事等许许多多需要实时实现的领域,1.1.1 什么是DSP,DSP=Digital Signal Processing处理技术 DSP=Digital Signal Processor处理器,1.1.2 DSP的特点,特别适合于数字信号处理运算 单片机,ARM,FPGA 哈佛结构,程序空间和数据空间分开,CPU可以同时访问指令和数据; 在一个指令周期内可以完成一次乘法和一次加法运算; 片内具有快速RAM,通常可以通过独立的数据总线

16、在程序空间和数据空间同时访问; 具有低开销或无开销循环及跳转的硬件支持; 具有快速的中断处理和硬件I/O支持; 可以并行执行多个操作; 支持流水线操作,使得取址、译码和执行等操作可以重叠执行,1.1.3 DSP与MCU/ARM/FPGA的区别,详见p2 DSP 数字信号处理 MCU 单片机,价格便宜 ARM 面向低预算市场的处理器,事务管理功能,手持设备90%,擅长跑系统 FPGA 现场可编程逻辑阵列,价格贵,1.1.4需要的知识,硬件部分 软件部分 信号处理理论,1.2 如何选择DSP,考虑因素:处理器的速度、功耗、存储空间的大小(程序、数据)、片内资源(定时器、IO口、DMA通道、中断)

17、供应商:TI 50%,ADI较少,MOTO,ZILOG) TI产品(FLV) C2000系列数字及运动控制,C24x,2407,2407,C28x-2810,2812,28335浮点.只有C2000系列有FLASH和异步串口 C5000系列-低功耗,手持设备无线终端,80M,400M,C55x,C54x C6000系列C64xC67x,达芬奇DM642 宽带网络,数字图像处理,BGA焊接麻烦 OMAPDSP+ARM,移动上网设备,多媒体家电 Piccolo平台2802x,2803x控制领域,成本相对低,与MCU竞争 图1-2分析,1.2.3 TI DSP具体型号的含义,1.3 DSP的开发平台

18、,全英文开发环境 CCS3.3较多 CCS4.0较少 安装路径不能含中文字符 建议默认路径,桥梁 数据传输 仿真调试 程序固化,含有DSP的板子,JTAG,USB传输速度快 并口,1.3.1 CCS的版本,1.3.2 开发工具,目标板,仿真器,http:/,仿真器 HDSP-XDS510 USB XDS510 USB PLUS,开发板 Core2812 Super2812 Basic 2812,HDSP-XDS510PLUS,利用XDS560 JTAG技术,稳定性和速度与510相比,都有提升。 体积小巧(85*63*15mm),仅银行卡大小,铝合金外壳 良好的电磁兼容优化设计,防静电ESD保护

19、。 标准Jtag仿真接口,不占用用户资源;特别接口安全保护计划,全面支持JTAG接口热拔插。 支持Windows98/NT/2000/XP操作系统,最新支持win7 32位操作系统。 支持TI CCS2.X,CCS3.1,CCS3.3,CCS4.12集成开发环境,支持C语言和汇编语言。 可仿真调试TI公司TMS320C2000,TMS320C3000,TMS320C5000全系列及TMS320C6000部分型号及OMAP,DM642等DSP芯片。 仿真速度快,支持RTDX数据交换。 不占用目标系统资源。 自动适应目标板DSP电压。 设计独特,完全克服目标板掉电后造成的系统死机;完全解决目标板掉

20、电后不能重启CSS的问题。 支持多DSP调试,一套开发系统可以对板上的多个DSP芯片同时进行调试。 对TI的未来的芯片,只需升级软件便可轻松应用。 安装简单,运行稳定,价格低廉。 设计时更关注接口的安全性和产品的稳定性、兼容性等问题,提高了产品的抗干扰能力。 和专业的电路技术公司合作,PCB板采用国内最好的材料和工艺,焊接采用全自动贴片工艺,HDSP-CORE2812,采用32位定点DSP TMS320F2812,时钟频率150MHz,可方便应用于电机控制、电源设计、逆变器、变频器、电力自动化装置等工业自动化领域; 4层板设计,关注EMC,信号稳定可靠 片内:RAM 18K,Flash 128

21、K 外扩:RAM 256K,Flash 256K PWM: 16路(其中4路为独立波形,12路为6对互补波形); QEP: 6通道; ADC: 28通道(12位、80ns转换时间、03V量程,SCI异步串口: 2通道; McBSP同步串口: 1通道; SPI同步串口: 1通道; eCAN总线: 1通道; 创新性的可选择AD校正设计,可有效提高TMS320F2812内部AD的采样精度; 2*80插针接口,便于二次开发,选配的底板可将2mm间距引脚转换为2.54mm间距引脚,应用更灵活。 选配的简易底板可提高HDSP-Core2812运行的稳定性,并可实现1路RS232通信,1路RS485通信。

22、外形尺寸:88mm X 66mm,开发环境的搭建,安装CCS软件 安装仿真器HDSP-XDS510USB HDSP-Basic 2812 p22上电顺序 p22下电顺序,1.4 如何学好DSP,多看 多想 多动手 多交流 DSP学习网站:,第2章 TMS320X281X的结构、资源及性能,TMS320X2812,32位定点DSP芯片 目前性价比最高的 强大的数字信号处理能力,较为完善的事件管理能力和嵌入式控制功能 广泛应用于工业控制 处理速度、处理精度要求较高 大批量数据处理的测控场合,片内资源,哈佛结构vs冯-诺依曼结构(图2-1) 表2-1 硬件特点 TMS320C2812128Kx16

23、ROM TMS320F2812128Kx16 FLASH,TMS320X2812的硬件特点,TMS320X2812的硬件特点,2.1.1 TMS320X281X的性能,1 芯片采用了高性能的CMOS技术 2 支持JTAG在线仿真接口 3 高性能的32位中央处理器(TMS320C28x,CPU主频高达150MHz,指令周期为6.67ns。 采用低功耗设计,当内核电压为1.8V时,主频为135MHz,当内核电压为1.9V时,主频为150MHz I/O口引脚电压为3.3V。 FLASH编程电压为3.3V,一个周期内能够完成32位32位的乘法累加运算 一个周期内能够完成2个16位16位的乘法累加运算

24、采用哈佛总线结构模式 具有快速的中断响应和中断处理能力 具有统一的寄存器编程模式 编程可兼容C/C+语言以及汇编语言,2.1.1 TMS320X281X的性能,4. 芯片内的的存储空间 5. Boot ROM空间 6. 外部存储器接口,片内含有128K16位的FLASH,分为4个8K16位和6个16K16位的存储段 具有1K16位的OTP ROM空间。 18Kx16位随机存储器(SARAM) H0:8K16位。 L0和L1:各4K16位 。 M0和M1:各1K16位,TMS320F2812,有多达1M16位的总存储空间。 3个独立的片选信号。 可编程的等待时间。 可编程的读写时序,空间大小为4

25、K16位。 内含软件启动模式。 内含标准的数学函数库,目标板外扩256KRAM和256KFLASH,2.1.1 TMS320X281X的性能,7. 时钟和系统控制 8. 3个外部中断 9. 外部中断模块PIE可支持96个外部中断,当前仅使用了45个外部中断。 10. 3个32位的CPU定时器。 11. 128位安全密钥 12. 先进的仿真模式,内含看门狗定时器模块。 具有片内振荡器。 支持动态锁相环倍频,具有实时分析以及设置断点的功能。 支持硬件仿真,可以保护FLASH/ROM、OTP ROM和L0、L1 SARAM。 防止系统中的软件程序被修改或读取,2.1.1TMS320X281X的性能,

26、13. 开发工具 14. 低功耗模式和节能模式 15. 可选的芯片封装 16. 温度选择,TI公司DSP集成开发环境(Code Composer Studio,CCS)。 JTAG仿真器,目前主要有XDS510和XDS560,对于仿真TMS320X2812,使用XDS510仿真器已经足够,支持模式:IDLE空闲、STASNDBY等待、HALT挂起 可独立禁止/使能各个外设的时钟,179引脚的BGA封装,带有外部存储器接口 176引脚的LQFP封装,带有外部存储器接口 由于BGA封装的焊接比较困难,在小批量的情况下,手工一般无法完成,机器焊接的成本也远远高于LQFP封装的焊接成本,因此,通常设计

27、时使用的是176引脚的LQFP封装,A:-40+85。 S:-40+125,2.1.2 TMS320X2812的片内外设,1,2,4,3,5,6,功能框图,TIMER0可用 TIMER1,2保留,事件管理器 EV,具有两个事件管理器EVA、EVB。两个事件管理器具有相同功能的定时器、比较单元、捕获单元,只是命名不同而已。 每个事件管理器具有2个通用定时器。 每个事件管理器具有3个全比较单元。 每个事件管理器具有3个捕获单元。 共可产生4路独立的PWM波形,和6对共12路互补的PWM波形,因此TMS320X2812可广泛的应用于电力电子、电机控制领域,1,模数转换器 AD,理论上采样精度为12位

28、,在实际使用中采样精度为9位或10位,经过硬件、软件校正措施,精度可有效提高。 28路输入通道。 具有2个采样保持器(Sample-Hold Controller)。 具有单一或者级联两种转换模式。 最高转换速率为80ns(12.5Msps,2,串行通信接口SCI,每个TMS320X2812芯片具有2个串行通信接口SCIA和SCIB。 采用接收、发送双线制。 标准的异步串行通信接口,即UART口。 支持可编程配置为多达64K种不同的通信速率。 可实现半双工或者全双工的通信模式。 具有16级深度的发送/接收FIFO功能,从而有效降低了串口通信时CPU的开销,3,串行外围设备接口 SPI,具有两种

29、可选择的工作模式,主模式或者从模式。 支持125种可编程的波特率。 发送和接收可以同步操作,可实现全双工通信模式。 具有16级深度的发送/接收FIFO功能,发送数据的时候数据与数据之间的延时可以进行控制,4,局域网通信控制器 CAN,支持完全兼容的CAN2.0B总线协议。 最高支持1Mb/s的总线通信速率。 具有32个可编程的邮箱。 低功耗模式。 具有可编程的总线唤醒模式。 可自动应答远程请求消息,5,多通道缓冲串行接口McBSP,全双工通信方式 双倍缓冲的传送和三倍缓冲的接收,并适用于连续的数据流 128个通道可用于传送和接收 多通道选择模块允许和终止每一个通道的传输 用两个16级、32位的

30、FIFO代替DMA(直接存储器存取) 可直接连接于工业标准的多媒体数字信号编解码器、模拟接口芯片以及可串行连接的A/D和D/A转换器,6,2.2TMS320 x2812的引脚分布,179BGA封装图2-3 176LQFP封装图2-4,JTAG防反插,引脚功能,TTL电平与CMOS电平的区别 不能输入5V 输出缓冲能力4mA,所有引脚的输入电平与TTL兼容,输出为3.3V的CMOS电平,引脚归类,电源 - 表2-4 外部存储器接口XINTF信号- 表2-5 ADC模拟输入- 表2-6 GPIO或外围信号 - 表2-7 JTAG接口及其他- 表2-8,I输入 O输出 Z高阻态 PU上拉 PD下拉,

31、通用输入/输出多路复用器GPIO寄存器,GPIO多路复用器,X281X的DSP为用户提供了56个通用的数字I/O引脚,这些引脚基本上都是多功能复用引脚 。 X281X的通用输入/输出多路复用器GPIO就是I/O引脚的管理机构,它将56个引脚分成了6组来进行管理,其中GPIOA和GPIOB各管理16个引脚,GPIOD管理4个引脚,GPIOE管理3个引脚,GPIOF管理15个引脚,GPIOG管理2个引脚,GPIO多路功能复用的原理,GPIO的寄存器,功能选择控制寄存器GPxMUX 方向控制寄存器GPxDIR 输入限定控制寄存器GPxQUAL 数据寄存器GPxDAT 置位寄存器GPxSET 清除寄存

32、器GPxCLEAR 取反寄存器GPxTOGGLE,将PWM1引脚设为PWM或者IO,EALLOW; GpioMuxRegs.GPAMUX.bit.PWM1_GPIOA0=1; /将PWM1引脚设置为PWM波形的输出引脚 GpioMuxRegs.GPAMUX.bit.PWM1_GPIOA0=0; /将PWM1引脚设置为通用数字I/O口 EDIS,当PWM1为IO口时,设定输入或者输出,EALLOW; GpioMuxRegs.GPADIR.bit.GPIOA0=0; /将PWM1引脚设置为输入引脚 GpioMuxRegs.GPADIR.bit.GPIOA0=1; /将PWM1引脚设置为输出引脚 E

33、DIS,输入限定控制寄存器GPxQUAL,判断引脚输入电平的高低,if(GpioDataRegs.GPADAT.bit.GPIOA0=1) /PWM1引脚输入的电平是高电平 if(GpioDataRegs.GPADAT.bit.GPIOA0=0) /PWM1引脚输入的电平是低电平,使引脚输出高电平或者低电平,GpioDataRegs.GPASET.bit.GPIOA0=1; /PWM1引脚输出高电平 GpioDataRegs.GPACLEAR.bit.GPIOA0=1; /PWM1引脚输出低电平,寄存器位与I/O引脚之间的对应关系,表9-3至表9-8,第3章 硬件设计,3.1如何保证X2812

34、系统的正常工作 3.2常用硬件电路的设计 3.3D/A电路的设计以及波形发生器的实现,引脚归类,电源 - 表2-4 外部存储器接口XINTF信号- 表2-5 ADC模拟输入- 表2-6 GPIO或外围信号 - 表2-7 JTAG接口及其他- 表2-8,I输入 O输出 Z高阻态 PU上拉 PD下拉,从DataSheet文档的典型应用学起 测试过程中,能用示波器的话尽量不用万用表 2812芯片对电源要求很敏感,3.1 如何保证2812系统正常工作,在每次上电之前,一定要检查电源跟地是否短接。 电源芯片产生的电压要稳定在3.3V和1.8V,大量的实验表明,常常可能由于锡渣或者其他的一些不起眼的小原因

35、导致电路板上电源和地直接连接一起,如果在上电之前没有检查清楚,那么上电之后只有一种结果,电源跟地相接,板子直接报废,等待的是更大的麻烦。所以,切记每次上电之前一定要检查,电源芯片上电容的不匹配,有可以能导致电源芯片里面的振荡电路工作一段时间后不再振荡,或者振荡频率所对应的不是所要求输出的电压值。 在设计电源时除了需要考虑电源的散热问题之外,还要考虑电容匹配问题。 计算之后多次测量,取最佳值,如何保证2812系统正常工作,要按照正常的步骤来操作。不要热插拔JTAG。 复位电路的设计错误也会导致系统不能正常运行,尽管很多开发板厂家号称自己的开发板仿真器支持热插拔,但是事实并非如此,大量实验说明,带电停止或运行仿真器都有可能造成运行环境的死机,3.2常用硬件电路的设计,以Basic 2812为例 最小系统的概念p45 重视Dat

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论