VHDL--Quartus-II调用Modelsim进行仿真_第1页
VHDL--Quartus-II调用Modelsim进行仿真_第2页
VHDL--Quartus-II调用Modelsim进行仿真_第3页
VHDL--Quartus-II调用Modelsim进行仿真_第4页
VHDL--Quartus-II调用Modelsim进行仿真_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、VHDL - Quartus II ( 11.1 版本)调用Modelsim 进行仿真之前仿真一直是用Quartus II 自带的“ Vector Waveform File ”,但因其功能有限,在老师的推荐下接触了Modelsim, 捣腾了一段时间之后总算有所收获了。在查资料的过程中,发现好多二者联合的讲解都是用Verilog 语言写的例子, 而 VHDL 很少。以下是我总结的用VHDL语言的具体步骤以及要注意的细节坎坷的路就略过了,直接展示成果。例子是八位七段数码管的动态扫描显示一用 Quartus II 进行 VHDL 语言编译1. 首先建立工程File-New project Wiza

2、rd (第三个图标)填入所建工程的目录以及名称,注意稍后的源程序中实体名必须和这里的名称相同。填好后单击finish ,然后单击yes。2.新建 VHDL 源程序文件File-New-VHDL File-OK将程序写进去(这里只讲步骤,不讲源程序的写法)注意:程序中的实体名必须和之前建工程时所命名的文件名相同!然后保存一下,不用改动,直接单击保存。3. 编译快捷键按钮在界面中上方的红色倒三角,显示编译成功之后关闭编译报告。4.设备设置具体的实验器件根据提供的实验板设定Assignment-Device4.引脚分配Assignment-Pin Planner在 Location 下的方格中填入对

3、应的硬件引脚,也是根据实验板而定6.引脚分配好之后再次编译一下,使分配的引脚起作用。一、调用 Modelsim1、 设置仿真工具为Modelsim-alteraTool-Options-EDA Tool Options,在 Modelsim-Altera 后面的地址改为 Modelsim-Altera.exe 在你电脑中的位置, 然后点击 OK。2、 写 TestBench 文件,这里使用Quartus II 生成的模板Processing-start-Start TestBench Template Writer,显示成功后关闭生成报告。这时会生成后缀为.vht 的文件就是我们需要的,可以在

4、这个实验开始时所建工程名的文件夹下的simulation 子文件夹下找到。 我们可以通过Quartus II 左上角的打开图标打开 .vht到。然后在文件,注意把文件类型更改成All files 或者下图所示的类型,simulation 文件夹下找到并打开它。否则会找不模板已经给出了端口部分的代码、接口变量的声明和例化语句映射等,我们要做的就是在其后的进程 Process 中填入需要的测试代码。如下:注意: init 和 always 为关键字,需要改动一下保存一下3、 将 TestBench 添加到工程中单击 Open点击 Add 后,一直单击OK 到 Setting 界面全部关闭。4、 可

5、以开始仿真了Tools-Run simulation Tool-RTL Simulation,这时就会自动启动Modelsim 软件如果之前的主程序以及TestBench没有错误了,就会出现下面的界面点击上面的红点(stop ) ,单击 Run 按钮(旁边的时间根据实际需要调整),并通过Ctrl+鼠标滚动或者界面上的加号按钮,可以将波形调整到如下容易察看的间距,另外如果感觉一串0 和 1 的组合不容易看懂, 可以右击通过下面的方式将二进制数改为十进制或者十六进制的形式。最终的波形图如下:经检验与实验目的相符合,是正确的。另外,可以滚动波形下方的游标来观察不同时刻的波形。至此, Quarts II 调用 Modelsim 已经圆满完成了,剩下的就是将程序下载到硬件实验板上进行验证了。注意:1.工程名称必须和主程序(.Vhd 文件)中的实体名相同。2.TestBench名称必须和TestBench 程序(.Vht 文件)中的实体名相同。3.所有在进程(process)中用到的变量和信号都要进行初始

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论