毕业设计(论文)基于单片机的全自动洗衣机控制系统_第1页
毕业设计(论文)基于单片机的全自动洗衣机控制系统_第2页
毕业设计(论文)基于单片机的全自动洗衣机控制系统_第3页
毕业设计(论文)基于单片机的全自动洗衣机控制系统_第4页
毕业设计(论文)基于单片机的全自动洗衣机控制系统_第5页
已阅读5页,还剩31页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于单片机的洗衣机控制系统设计摘要单片机是计算机家庭的一个重要分支,它具有体积小、价格低、面向控制的特点,适用于各种工业控制、仪器仪表装置,在人类生产和生活的各个领域都有极为广泛应用。 本洗衣机控制系统,采用目前常用的89c51单片机,用汇编语言的编程方法,完成对洗衣机洗衣全过程的自动控制,并采用led数码显示洗衣过程代码和洗衣剩余时间,洗衣完成后能自动报警。使全自动洗衣机的使用更加简单、直观和方便。本设计取材容易,结构简洁,易于制作,具有一定的实用价值。关键字:单片机、汇编语言、动态扫描目录第一章 绪论1.1 设计目标 1.2 设计意义第二章 硬件和软件的设计方法 2.1 洗衣机的工作过程

2、2.2 全自动洗衣机控制系统硬件的选择 2.3 单片机编程软件的选用第二章 全自动洗衣机控制系统的设计原理 3.1 控制系统方框图3.2 控制板电路原理(附整机原理图)3.3 控制功能及过程 第四章 洗衣机控制系统的软件系统4.1 洗衣机控制系统软件的编程思路4.2 主程序及子程序流程图4.2 洗衣机控制系统的源程序参考文献附录 at89c51技术手册 mcs-51系列单片机指令系统表第一章: 绪论在生产和生活的各个领域中,凡是有自动控制要求的地方都会有单片机的出现。单片机的应用有利于产品的小型化、多功能化和智能化,而且抗干扰能力强,可在各种恶劣的环境下可靠地工作,成本也较低。所以单片机的应用

3、已极为广泛,它在工业自动化、工业测控、智能仪器仪表、家用电器、信息与通信、军事装备等方面都在发挥着“微电脑控制”的作用。11 设计目标用51系列单片机89c51控制全自动洗衣机的运行,使其能自动地完成进水、洗涤、漂洗、脱水等功能。不同的衣物,洗涤、漂洗、脱水和洗衣电机正反转所用的时间不同,要求设计能够实现过程选择,并在led显示屏上显示过程代码。在运行的时候能显示完成整个过程的剩余时间。为了节省单片机的io端口,以及使硬件连接能尽可能的简单,在led显示过程中我们使用了动态扫描的方法。软件编程使用汇编语言,烧录好单片机后能实现上电运行。还应该具有相当的稳定性,以保证全自动洗衣机的正常工作。以此

4、体会单片机系统的设计、开发过程。12 设计意义l l 进一步了解8051单片机。l l 熟悉单片机控制系统开发流程。l l 深入学习计算机汇编语言的编程方法。l l 对计算机的工作原理有进一步的认识。l l 增强个人动手能力。第二章 硬件和软件的设计方法在进行系统设计前,我们必须知道所设计的项目要完成什么任务,达到什么样的要求,并根据所完成的任务和要求来选择相应的硬件设备和软件编程方法。在设计前我们先了解一下洗衣机的工作过程和单片机的工作原理,确立算法模型,再选择相应的软件编程语言2.1洗衣机的工作过程目前常用的波轮洗衣机分为全自动和双缸洗衣机,它们都是依靠电机拖动波轮带动洗衣筒中的水来回旋转

5、,而使衣物洗干净的。在双缸洗衣机中,电机的正转和反转及洗涤时间控制是由机械定时器开关来完成的,而全自动洗衣机是靠电路来完成,对洗涤来说,效果相同;所不同的是双缸洗衣机在完成洗涤后,需要打开排水开关排水,拿出衣物放到脱水桶中脱水,脱水完成后又要将衣物放回洗衣机桶中洗涤,一次洗衣要进行几次洗涤,就要完成几次这样相同的动作,在完成洗衣的全过程中必须要有人工干预,很不方便,而全自动洗衣机中,洗衣过程中的进水、洗涤、排水、脱水、再进水、洗涤是自动进行的,只在洗衣前需人工放进衣物,洗衣完成后自动报警,再由人工取出衣物,中间过程不需要人工干预,使用要比双缸洗衣机简便的多。完成这样的顺序控制功能,也可以由数字

6、逻辑电路来进行,但实现这样的控制比较困难,电路结构也很复杂,成本高,控制系统不能智能化,如不容易实现单独脱水、单独洗涤这样的功能选择。而使用单片机,采用软件编程的方法可以轻易实现这样的控制,而且电路简单,成本低,洗衣机能够智能化,容易操作。综合考虑,我们选择了价格低廉,容易买到,比较可靠的89c51单片机来设计全自动洗衣机的控制系统。2.2 全自动洗衣机控制系统硬件的选择单片机系统的是一个软硬件结合的系统,不能孤立地只谈一个方向,脱离了硬件来讲软件编程是毫无意义的,同样没有软件,单片机的硬件也无法正常工作。(1) 单片机89c51(2) at89c51是一个低电压,高性能cmos 8位单片机,

7、片内含4k bytes的可反复擦写的flash只读程序存储器和128 bytes的随机存取数据存储器(ram),器件采用atmel公司的高密度、非易失性存储技术生产,兼容标准mcs-51指令系统,片内置通用8位中央处理器和flash存储单元,内置功能强大的微型计算机的at89c51提供了高性价比的解决方案。at89c51是一个低功耗高性能单片机,40个引脚,32个外部双向输入/输出(i/o)端口,同时内含2个外中断口,2个16位可编程定时计数器,2个全双工串行通信口,at89c51可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和flash存储器结合在一起,特别是可反复擦写的fla

8、sh存储器可有效地降低开发成本。 主要功能特性: l l 兼容mcs51指令系统 4k可反复擦写(1000次)flash rom l l 32个双向i/o口 可编程uarl通道 l l 两个16位可编程定时/计数器 全静态操作0-24mhz l l 1个串行中断 128x8bit内部ram l l 两个外部中断源 共6个中断源 l l 低功耗空闲和掉电模式 l l 软件设置睡眠和唤醒功能(2)可控硅 洗衣机的几个关键动作,如进水、排水、电机正转、电机反转,是通过单片机的io端口,输出控制信号,控制可控硅的通断来实现的。在这里我们用了4个双向可控硅担任控制系统的执行部件。 (3)led显示器及各

9、开关 为了显示所选功能及剩余时间,完成良好的人机交互,我们选用了共阳的8段led显示器做显示输出。为了节省端口,采用了扫描的显示方法(下章具体介绍),采用了三个开关,分别做为安全开关、水位开关(进水是否达到要求的传感器)和控制开关(选择洗衣程序和启动暂停等动作的输入按钮)2.3单片机编程软件的选用 单片机89c51可以用汇编语言和c语言来进行编程,在选用编程语言前,我们先来比较两者之间的特点。l l 汇编语言:汇编语言是用符号(助记符)表示的机器操作指令,它是对机器语言的改进。但是机器语言还是面向机器的低级语言,它仍要求开发人员必须精通所用的单片机硬件系统和指令系统不便于记忆和使用。但是它相比

10、机器语言提供了高一层次的机器操作抽象,更加接近人类语言,这让它成为单片机系统开发中最常用的开发语言之一。l l c语言:c语言正在成为单片机应用系统开发的主流,它既具有高级语言的简洁、便于理解记忆、通用性好、表达能力强等特点,又具有低级语言的对计算机硬件进行操作功能。c语言不是面面俱到的语言,也不是专为某一特殊应用领域设计的语言。它本身不能执行操作(如输入/输出),这些操作都由标准库提供支持。正是因为这些操作都与语言无关,所以c语言可以适用于任何平台。cx51是专为8051设计,完全符合ansi c标准1。可以针对8051生成紧凑、高效的代码。 在洗衣机的控制系统中,由于是面向控制的设计,使用

11、汇编语言方便一些,占用单片机内的rom空间也较小,并且在汇编语言中,我们可以精确地知道每条指令所占用的时钟周期,能够精确定时,所以在本设计中我们采用了汇编语言编程的方法,源程序编好后,由编程软件转换为机器代码,再由编程器输入到单片机的ram中去。第三章 全自动洗衣机控制系统的设计原理3.1 控制系统图洗衣机要实现衣服的洗涤、漂洗和脱水,离不开进水、电机正转、电机反转和排水这四个动作。上述四个动作,是通过单片机的p0端口,做输出端口,去控制双向可控硅通断来实现的,如下图所示。同时加上输入开关的按钮、数码管显示器、蜂鸣按警器和欠压检测保护电路等,就可以形成完整的单片机控制系统。通过软件编程达到对整

12、个洗衣过程进行控制、检测以及与用户交互。单片机89c51进水电磁阀排水电磁阀电机正转电机反转显示屏蜂鸣器 水位开关 安全开关 程序选择/启动/暂停 可控硅x 4个220v 洗衣机单片机控制系统方框图3.2 控制板电路原理(附控制板整机原理图)参阅洗衣机单片机控制系统原理图,控制板电路使用atmel公司的at89c51芯片,时钟电路采用6mhz晶振。组成的输入信号有:安全开关(即洗衣机桶盖的打开与闭合)、水位压力开关(即水位的高低)、启动、暂停按钮,低电压检测信号; 输出控制信号包括:两个8位led数码动态显示(剩余时间)和蜂鸣器鸣叫、进水阀、正反转洗涤电机、排水阀的控制信号。交流220v电压经

13、变压、整流、滤波后,其中一路接至低电压保护电路,另一路经过cw7805进行稳压,提供稳定的5v电压供应给控制板各元件。当低电压保护电路检测到低电压时,将向单片机的int0引脚产生中断,单片机将响应该中断;关闭各输出端口,以保护执行部件如电机等设备不因欠压而损坏。3.3 控制功能及过程洗衣机动作代码表动作名称进水洗涤排水脱水动作代码abcd本次动作预设时间2分钟1596或2分钟2分秒30秒(2d+t秒)53或是分钟(包括30秒间隙脱水)洗衣机功能选择代码表过程代码过程名称完成整个过程所需时间(分)所需动作代码及时间01浸泡洗涤59a(b1停2)*5b15cd2ab2cd2ab2cd502强力洗涤

14、42ab15cd2ab2cd2ab2cd203标准洗涤42ab15cd2ab2cd2ab2cd504轻柔洗涤34ab9cd2ab2cd2ab2cd305快速洗涤24ab5cd2ab2cd306单独洗涤17ab1507漂洗脱水25cd2ab2cd2ab2cd508单独脱水8cd509留水停机34ab15cd2ab2cd2ab2(大写字母后的数字为动作所需的时间)洗衣机的三大功能是实现衣服的洗涤、漂洗和脱水,加上进水,我们把这四个洗衣机动作编排成4个代码,而这四个动作的组合可形成9个过程(或更多),见动作代码表。选择哪一个过程来执行洗衣程序是在进入洗衣前由用户通过开始、暂停按钮来选择的,如:单独进

15、行脱水可选择过程08。打开电源开关,洗衣机将进入洗衣过程选择状态,此时数码管显示00,用户按启动、暂停键可以显示不同的过程代码(在0109之间循环显示以指示选择不同的洗衣过程)。3秒内若无按键动作,蜂鸣器开始鸣叫6下(时间长度共3秒)以提醒用户;开始进入相应过程的洗衣程序,此后启动暂停按钮只起暂停或继续洗衣程序执行作用,数码管显示完成本次洗衣的剩余时间。三大功能中的漂洗实际上是由洗涤和脱水功能组成的,如一次标准洗涤过程(过程代码)中,漂洗功能包括了时间均为2分钟的脱水、洗涤、脱水、洗涤。因此,在编写控制程序实现三大功能时只需编写洗涤和脱水功能的代码,然后作为子程序调用即可。完成一次洗衣过程所需

16、的动作有:(1) 进水动作进行洗涤时,盛水桶内的水量必须到水位设定要求。而水位的高低可以通过调节水位开关来实现。洗衣机的进水和水位判断是由水位开关和进水阀的开合来进行控制的。当桶内没有水或水量达不到设定水位时,单片机程序将控制进水阀闭合,开始注水;当桶内的水位达到设定水位时。水位开关受压闭合;程序就可进入下一步(洗涤)处理。若打开进水阀后20分钟内不能进水,则将出错报警,用户只能关闭洗衣机电源进行相关检查后方能重新开机。(2) 排水动作进入脱水动作前应先排水。为了避免空排水造成时间浪费以及排水不完而带水脱水造成对电机的损害,洗衣机能够根据实际水量(由水位开关决定)对排水时间进行动态控制。设排水

17、开始至水位压力开关断开时所需的时间为d,则总的排水时间为2dt(t取60秒,总的排水时间不应大于190秒)。因此,在开始排水时,控制程序将同时启动计时器,在d秒后若收到水位压力开关断开的信号,则再排水dt时间后,就可以结束排水进入脱水动作。若d大于65秒,说明排水系统有故障;洗衣机将停止动作并蜂鸣报警,提醒用户排除故障(安全开关打开又闭合认为是一次故障詯处理)后再继续。需要注意的是:排水结束后排水开关还是打开的。(3)洗涤动作洗涤动作指的是电机周期性的“正转停止反转停止”。不同的洗衣过程,控制电机执行“正转停止反转停止”的时间是不同的,标准洗涤过程可取:正转1.6秒0.56秒反转1.6秒停止0

18、.56秒。对于轻柔洗涤和强力洗涤过程,这个时间要作相应调整。洗涤过程中若遇水位开关断开或用户要求补水(重新选择水位),则要停止洗涤动作并打开进水阀进水直至水位满足要求再继续。此外,洗涤动作的最后15秒,电机还要进行快速的停止正转停止反转停止处理,以避衣服拧成一团。程序流程见洗涤子程序(4)脱水动作排水结束后进入脱水动作,脱水是通过电机的正转来实现的,同时要求排水阀一直打开,也正是由于排水阀的打开,才使脱水时的电机正转速度不同于洗涤时的电机正转速度。(通过机械装置实现)。脱水分间隙脱水和长脱水,间隙脱水时间为30秒,电机按“正转停止”的周期循环动作,时间为“正转4秒停止3秒”。长脱水时电机将一直

19、调整正转,所需时间由用户选择的过程决定,一般有3分钟和5分钟的长脱水时间。进入脱水前若洗衣机桶盖是打开的,则进行鸣叫、显示告警,直至用户合上桶盖后,才继续进行脱水。脱水结束后,再经过30秒延迟后关闭排水阀。脱水时若遇暂停,则重启时需延时5秒后再进行电机动作,目的是用于同步机械动作的滞后。程序流程见脱水子程序流程图。(5)脱水不平衡修正进行脱水处理,电机要进行高速单向正转,若此时衣物偏向于一边,脱水会因为离心力作用,在很短时间内碰撞安全形状装置,使安全形状产生瞬时的关闭和断开,此时要进行脱水不平衡修正。进行脱水不平衡修正,洗衣机将停止脱水,并自动插入“进水洗涤分钟排水”动作。通过这一插入动作,衣

20、服将调整到洗衣桶中心位置。在同一脱水过程中如果连续修正3次仍达不到脱水平衡,则进行报警,待用户人工打开洗衣机将衣物放置均匀再盖上桶盖,方可继续进行脱水(即安全开关打开安全开关闭合动作)。在漂洗功能的第一次脱水动作时不进行脱水不平衡修正,在第二次脱水动作时则要进行脱水不平衡修正。 (6)led的显示89c51对可以分为静态和动态两种。静态显示的特点是各led管能稳定地同时显示各自的字形;动态显示是指各led轮流地一遍一遍显示各自字符,人们由于视觉器官的惰性,从而版的是各led似乎在同时显示不同字形。为了减少硬件开销,提高系统可靠性,并降低成本,我们在这里采用动态扫描的方法进行代码的显示。在这里由

21、之p2口推动led的a、b、c、d、e、f、g、sp,称为字形口,由p3.0、p3.1选择所显示的字位,称为字位口,动态显示采用软件法把欲显示的代码符号转换为相应的字形码,故它通常需要在ram区建立一个显示缓冲区。显示缓冲区内包含的存储单元个数常和系统中led显示器的个数相等。显示缓冲区的起始地址很重要,它决定了显示缓冲区在ram中的位置。显示缓冲区中的每个存储单元用于存放相应led显示管欲显示字符的字形码地址偏移量,故cpu可以根据这个地址偏移量通过查字形码表的方法找出所需显示字符的字形码,以便送到字形口显示。(字形码表见下表)在这里我选用了2位共阳led数码显示器led数码显示器的字形(段

22、)码表显示字形字形码(共阳极)字形码(共阴极)0c0h3fh1f9h06h2a4h5bh3b0h4fh499h66h592h6dh682h7dh7f8h07h880h7fh990h6fha88h77hb83h7chcc6h39hda1h5ehe86h79hf8eh71h熄灭ffh00h(7)其它动作为了有更好的人机交互,进行洗衣时数码管要显示剩余时间(单位为分钟),并且以1秒的频率不停地闪烁。此时如果按下暂停按钮,则时间显示不闪烁。随着洗衣过程的进行,显示时间将不断减少直至洗衣程序完成后显示“0”。剩余时间的获得可以通过如下方法:根据用户所选的过程代码可以获得包含这一过程的所有动作(9个过程所

23、包含的动作需要的时间见功能选择代码表),由于相应的动作有预设的时间(动作对应的代码和预设时间见表动作代码表),因此,根据本次过程余下的动作可以通过查表的方式获取剩余时间。洗衣过程中的各种出错报警的显示代码见出错代码表,在显示的同时蜂鸣器将鸣叫6下用以提醒。洗衣过程结束后,蜂鸣器将鸣叫6下用以提醒用户可以取走衣服并关掉洗衣机的电源。故障名称显示值不能排水e1不能进入脱水e2不能平衡修正e3不能进水e4实现一次洗衣过程的控制流程图以标准洗涤(代码03)为例,洗衣机是按以下动作进行的:开始进水洗涤排水脱水进水洗涤排水脱水进水洗涤排水脱水结束。在此过程中,三大功能中的洗涤功能是指:进水洗涤动作;漂洗功

24、能是指排水脱水进水洗涤排水脱水进水洗涤(两次洗涤和两次时间均为2分钟的脱水)动作;脱水功能是指:排水脱水动作。第四章 洗衣机控制系统的软件系统4.1 洗衣机控制系统软件的编程思路汇编语言程序设计并不很难,但要编出质量高,可读性好且执行速度快的优秀程序并不容易,欲达此目的,除应娴熟掌握所依托的指令系统外,还应掌握程序设计的基本方法和技巧,熟悉汇编语言源程序的分类方法和特点。 洗衣机的一次洗衣过程控制过程比较简单,主要为顺序控制,如先进水、洗涤(电机正转反转)、再排水脱水,难点在于过程选择,如不同的衣物所用的洗涤、漂洗、电机正转反转时间不同,如果为每个过程单独编一套指令,则指令系统变得很庞大,效率

25、低,为此,我们把脱水、洗涤、进水单独编为一个子程序,由主程序根据过程选择,不断调用,由些减少源程序的长度,不同的洗衣过程,三大动作的时间不同,这可以通过建立数据表格,通过查表的方式获得每个洗衣过程所需时间。此外,还需编出显示子程序,延时子程序供主程序不断调用。4.2 主程序及子程序流程图(见下图) 洗衣机控制系统主程序流程图开始用户选择洗衣过程是否洗涤结束进水洗涤排水2d7秒进水是否漂洗是否脱水置注水漂洗标志置最后脱水标志间歇脱水最后长脱水是否为最后脱水结束鸣响中间长脱水洗涤是否为注水漂洗置注水漂洗标志洗涤动作子程序流程图开始根据过程代码获取电机正转-停止-反转-停止的时间周期电机动作进水处理

26、是否进水快速洗标志?时间到否?是否暂停重启否设定快速洗时间和电机正转停止反转停止周期清标志暂停处理结束 是 否 否 是 否 是 否 是 是 否脱水子程序流程图延时5秒电机动作暂停处理安全开关是否断开脱水时间到否安全开关断开暂停不平衡修正报警重置修正次数是否暂停是否暂停开始安全开关是否断开延时30秒,关闭排水阀是否瞬间断开不平衡修正3次脱水不平衡修正安全开关是否闭合显示鸣叫报警结束 是否否是是否否是是否否是是4.3全自动洗衣机控制系统汇编语言源程序org 0000hljmp mainorg 0030h;延迟10ms程序;使用r0, r1delay10ms:mov r0, #100d;delay1

27、0ms02:mov r1, #23ddelay10ms01:djnz r1, delay10ms01djnz r0, delay10ms02ret;显示子程序;20h.0闪烁标志位:=0不闪烁,=1在1s内亮灭一次;20h.1程序开始标志:=1开始, =0选择;显示缓冲:50h;经过的时间:53h,52h,51h=分钟,秒,20ms的次数;总时间:totaltime=40htotaltime equ 40hdisplay:push accpush pswsetb rs0jnb 20h.1, display01mov a, 50h ;高位是否为零cjne a, #0ah, display05di

28、splay05:jnc display06xrl a, #0f0h;高位为零不显示mov 50h, adisplay06:jnb 20h.0, display01;是否需闪烁mov a, 51hcjne a, #25d, display02;display02:jc display01mov 50h, #0ffh;暗显示(不亮)display01:mov a, 50h;显示低位anl a, #0fh;mov dptr, #displaytab;movc a, a+dptr;mov p2, a;setb p0.6clr p0.7lcall delay10msmov a, 50h;显示高位swap

29、 aanl a, #0fh;mov dptr, #displaytab;movc a, a+dptr;mov p2, a;clr p0.6setb p0.7lcall delay10msinc 51h;累计时间mov a, #50d;xrl a, 51h;jnz display03;50次的20ms未到mov 51h, a;inc 52h;1smov a, #60d;xrl a, 52h;jnz display03mov 52h, a;inc 53h;1mindisplay03:jnb 20h.0, display04;mov a, totaltime;计算剩余时间clr csubb a, 5

30、3h;mov b, #10d ;16进制转换到10进制div abswap axrl a, b;mov 50h, a;display04:pop pswpop accretdisplaytab: ;共阳数码管反向编码db 03h, 9fh, 25h, 0dh, 99h;01234db 49h, 41h, 1fh, 01h, 09h;56789db 11h, 0c1h, 63h, 85h, 61h;abcdedb 0ffh;暗;重算时间;入口数据:总时间(分)calutime:mov totaltime, aclr amov 52h, a;秒mov 53h, a;经过的分钟ret;鸣叫一下, 时

31、长120msplay:setb p1.6mov r0, #12d;play1:lcall displaydjnz r0, play1clr p1.6ret;鸣叫六下, 时长240ms*12alarm:setb p1.6mov r1, #11d;alarm2:mov r0, #12d;alarm3:lcall displaydjnz r0, alarm3cpl p1.6djnz r1, alarm2ret;洗涤用子程序;洗涤时暂停按键xdpause:jb p0.0, xdps01lcall displayjb p0.0, xdps01push 52hpush 53hclr 20h.0;不闪烁mo

32、v a, p1push accmov p1, #00hlcall playxdps02:lcall displayjnb p0.0, xdps02;等待按键释放xdps03:lcall displayjb p0.0, xdps03lcall displayjb p0.0, xdps03lcall playxdps04:lcall displayjnb p0.0, xdps04;等待按键释放pop accmov p1, accsetb 20h.0pop 53hpop 52hxdps01:ret;洗涤时延迟0.32sdelay320ms:mov r2, #14d;sjmp xdelay01;洗涤时

33、延迟0.56sxdelay560ms:mov r2, #28dsjmp xdelay01;洗涤时延迟1sxdelay1s:mov r2, #50dsjmp xdelay01;洗涤时延迟1.6sdelay1600ms:mov r2, #80dxdelay01:lcall displaylcall xdpause;暂停按键lcall ifinwater;水位开关djnz r2, xdelay01ret;洗涤前进水子程序inwater:jnb p0.2, pi1;setb p1.2mov a, 53h;add a, #20d;mov 59h, a; pi3:mov a, 53h;xrl a, 59h

34、;jnz pi2lcall errore4sjmp inwater pi2:jnb p0.2, pi4;lcall displaylcall xdpausesjmp pi3 pi4:clr p1.2 pi1:ret;洗涤时是否再进水ifinwater:jnb p0.2, if1;mov a, p1;push accmov p1, #00h;lcall inwater;进水pop accmov p1, a;if1:ret;不能进水报警errore4:push 52hpush 53hclr 20h.0mov a, p1;push accmov p1, #00h;mov 50h, #0e4hlcal

35、l alarme4pk2:lcall displayjnb p0.1, e4pk2;lcall displayjnb p0.1, e4pk2e4pk3:lcall displayjb p0.1, e4pk3lcall displayjb p0.1, e4pk3setb 20h.0pop accmov p1, acc;pop 53hpop 52hret;排水时延迟1sdelay1000ms:mov r2, #50d;dly51:lcall displaylcall xdpause;暂停按键djnz r2, dly51;ret;排水子程序outwater:clr asetb p1.3jb p0.2

36、, outw1mov r7, #60doutw2:lcall delay1000msjb p0.2, outw4djnz r7, outw2lcall errore1sjmp outwateroutw4:clr cmov a, #60dsubb a, r7outw1:add a, #60dmov r7, aoutw3:lcall delay1000msdjnz r7, outw3ret;不能排水报警errore1:push 52hpush 53hclr 20h.0mov a, p1;push accmov p1, #00h;mov 50h, #0e1hlcall alarme1pk2:lcal

37、l displayjnb p0.1, e1pk2;lcall displayjnb p0.1, e1pk2e1pk3:lcall displayjb p0.1, e1pk3lcall displayjb p0.1, e1pk3setb 20h.0pop accmov p1, acc;pop 53hpop 52hret;脱水时暂停按键tpkey:jb p0.0, tpk1;lcall displayjb p0.0, tpk1;push 52hpush 53hclr 20h.0lcall playmov a, p1;push accjnb acc.0, tpk6clr p1.0mov r0, #2

38、50;延迟秒tpk5:lcall displaydjnz r0, tpk5tpk6:mov p1, #00h;tpk2:lcall displayjnb p0.0, tpk2;tpk3:lcall displayjb p0.0, tpk3lcall displayjb p0.0, tpk3lcall playsetb 20h.0setb p1.3mov r0, #250;延迟秒tpk4:lcall displaydjnz r0, tpk4pop accmov p1, acc;pop 53hpop 52htpk1:ret;脱水时开盖, 脱水时安全报警ifopen:errore2:jnb p0.1

39、, ttpk1;lcall displayjnb p0.1, ttpk1;push 52hpush 53hclr 20h.0mov a, p1;push acc;lcall alarmjnb acc.0, ttpk6clr p1.0mov r0, #250;延迟秒ttpk5:lcall displaydjnz r0, ttpk5ttpk6:mov p1, #00h;mov 50h, #0e2httpk2:lcall displayjb p0.1, ttpk2;ttpk3:lcall displayjb p0.1, ttpk2lcall display;jb p0.1, ttpk3setb 20

40、h.0setb p1.3mov r0, #250;延迟秒ttpk4:lcall displaydjnz r0, tpk4pop accmov p1, acc;pop 53hpop 52httpk1:ret;脱水时延迟4sdelay4s:mov r2, #200d; dly431:lcall displaylcall tpkey;暂停按键lcall ifopen;安全开关djnz r2, dly431;ret;脱水时延迟3sdelay3s:mov r2, #150d;dly321:lcall displaylcall tpkey;暂停按键lcall ifopen;安全开关djnz r2, dly

41、321;ret;主程序开始;选择程序:30h, 程序开始20h.1=1main:mov sp, #60h;clr 20h.0clr 20h.1mov a, #00h;mov p1, a;mov 50h, a;mov 51h, a;mov 52h, a;mov 53h, a;mov 30h, a;lp1:;有无按键lcall displayjb p0.0, lp1;lcall display;按键去抖动jb p0.0, lp1;lp3:inc 30hmov a, #0ah ;共有九种可选择xrl a, 30h;jnz lp2mov 30h, #01h;lp2:lcall playmov 50h,

42、 30h;lp4:lcall displayjnb p0.0, lp4;等待按键释放clr amov 52h, a;lp5:lcall displaymov a, 52h;xrl a, #03h ;3秒延迟到否jz start;开始洗衣jb p0.0, lp5;sjmp lp3;重选洗衣程序;start:lcall alarmsetb 20h.1clr amov 52h, a;mov a, 30h;dec amov b, #03h;mul abmov dptr, #proctab;jmp a+dptrproctab:ljmp proc1;洗衣程序ljmp proc2;洗衣程序ljmp proc

43、3;洗衣程序ljmp proc4;洗衣程序ljmp proc5;洗衣程序ljmp proc6;洗衣程序ljmp proc7;洗衣程序ljmp proc8;洗衣程序ljmp proc9;洗衣程序proc1:mov a, #59dlcall calutimesetb 20h.0lcall inwater;进水mov a, #57dlcall calutime;洗涤3分钟mov r7, #42d;xidi0:setb p1.0lcall delay1600msclr p1.0lcall xdelay560mssetb p1.1lcall delay1600msclr p1.1lcall xdelay

44、560msdjnz r7, xidi0mov r6, #01d;共4次*3分钟=12分钟?4xidi02:mov r7, #30d;停2分钟?120xidi01:lcall xdelay1sdjnz r7, xidi01mov r7, #14d;洗涤1分钟xidi03:setb p1.0lcall delay1600msclr p1.0lcall xdelay560mssetb p1.1lcall delay1600msclr p1.1lcall xdelay560msdjnz r7, xidi03djnz r6, xidi02mov r7, #30d;停2分钟?120xidi04:lcall

45、 xdelay1sdjnz r7, xidi04ljmp proc3;以下同过程proc2:;(待完成)ljmp over;proc3:mov a, #42dlcall calutimesetb 20h.0lcall inwater;进水mov a, #40dlcall calutime;洗涤15分钟mov r7, #8d;?208xidi:setb p1.0lcall delay1600msclr p1.0lcall xdelay560mssetb p1.1lcall delay1600msclr p1.1lcall xdelay560msdjnz r7, xidi;快速洗涤15秒mov r7, #11dqxidi:setb p1.0lcall delay320msclr p1.0lcall dela

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论