直接数字频率合成器预习报告参考word_第1页
直接数字频率合成器预习报告参考word_第2页
直接数字频率合成器预习报告参考word_第3页
直接数字频率合成器预习报告参考word_第4页
直接数字频率合成器预习报告参考word_第5页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。是一种新型的频率合成技术.具有相对带宽大,频率转换时间短,分辨力高,相位连续性好等优点,很容易实现频率,相位和幅度的数控调制,广泛应用于通讯领域.实验要求利用QuartusII软件和SmartSOPC实验箱设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS或DDS)。DDS主要由频率预置与调节电路、累加器、波形存储器、D/A转换

2、器、低通滤波器构成。其组成原理如下图所示: 图2.1 DDS基本结构图(1)频率预置与调节电路作用:实现频率控制量的输入;不变量K被称为相位增量,也叫频率控制字。频率控制字的值可以由EDA实验系统提供的若干个开关直接输入,也可以由一个外部开关控制计数器产生相应的频率控制字。(2)累加器图2.2 累加器原理图累加器原理图如图2.2所示,它由N位加法器N位寄存器构成。每来一个时钟,加法器就将频率控制字K与累加相位数据相加,相加的结果有反馈送至寄存器的数据输出端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位相加器在每一个时脉冲输入时,把频率控制字累加一次,相位累加器的溢出频率就

3、是DDS输出的信号频率。由于相位累加器为N位,相当于把正弦信号在相位上的精度定为N位(N的取值范围一般为24-32),所以分辨率为1/推荐精选 ,若系统基准时钟频率为,频率控制字K为1,则DDS输出最小频率为=/ ;DDS输出的最高频率由 Nyquist采样定理决定,即/2(K的最大值为2N-1);若K为B,则输出频率为:=B/ 。(3)波形存储器图2.3 波形存储器用相位累加器输出的数据作为波形存储器(ROM)相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。N位的寻址ROM相当于把的正弦信号离散成具有个样值的序列,若波形ROM有D位数据位

4、,则个样值的幅值以D位二进制数值固化在ROM中,按照地址的不同可以输出相应的正弦信号的幅值。选取ROM的地址(即相位累加器的输出数据)时,可以间隔选项,相位寄存器输出的位数N一般取10-16位,这种载取方法称为截断式用法,以减少ROM的容量。N太大导致ROM容量的成倍上升,而输出精度受D/A位数的限制未有很大改善。(4)D/A转换器D/A转换器的作用:把已经合成的正弦波的数字量转换成模拟量。正弦幅度量化序列经D/A转换后变换成了包络为正弦波的阶梯波。波形存储器的输出送到D/A转换器,由D/A转换器将数字信号转换成模拟信号输出。推荐精选图2.4 D/A转换器的输出信号波形(5) 低通滤波器滤除生

5、成的阶梯形正弦波中的高频成分,将其变成光滑的正弦波。频率和相位均可控制的具有正弦和余弦输出的DDS核心单元电路示意图如下图所示: 其中,最后的10位D触发器起到稳定输出的作用,可以防止电路出现冒险现象.实验设计要求:1、基本设计要求:利用QuartusII软件和SmartSOPC实验箱实现DDS的设计;DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的RAM实现,RAM结构配置成21210类型;具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统时钟分频得到;推荐精选系统具有清零和使能的功能;利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形;通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证;2、提高设计要求:通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路)能够同时输出正余弦两路正交信号;在数码管上显示生成的波形频率;充分考虑ROM结构及正弦函数的特

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论