数字技术复习提纲_第1页
数字技术复习提纲_第2页
数字技术复习提纲_第3页
数字技术复习提纲_第4页
数字技术复习提纲_第5页
已阅读5页,还剩27页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、数字电子技术复习提纲一、 基本概念1 数制、码制的概念。2 逻辑代数的表示方法及运算法则和运算规律。3 最大项、最小项的含义和特征。4 标准与或式、或与式的含义。5 基本的逻辑门的符号、关系表达式;TTL逻辑门的功能(OC门、三态门)及其参数;CMOS门的功能及其参数。6 逻辑函数的表示方法;逻辑电路的种类及特征。7 组合逻辑电路的特点;典型的逻辑门电路的种类、功能及应用。8 触发器的功能分类,特性方程,功能表,状态转换图。 9 时序逻辑电路的分析方法和设计的一般步骤;典型的逻辑门电路的种类、功能及应用。10时序逻辑电路置零的方式。11 单稳触发器、施密特触发器的特点及应用、多谐振荡器的特点。

2、12 A/D、D/A转换的功能。二、 基本分析1 B、O、D、H不同数制之间的转换,数制与码制之间的转换。2 反演定律的运算规则;对偶规则、反演规则3 逻辑代数的化简(代数法和卡诺图法)。4 各类触发器之间的功能转换。5 组合逻辑电路的分析和设计的步骤;典型组合逻辑电路实现逻辑函数的方法。6 计数器的功能,功能扩展及模数分析。7 时序逻辑电路的分析。8 组合逻辑电路的设计。9 逻辑电路的电路图的连接。数字电子技术试题(一)一、填空题1、(1100110)B=( )D=( )H=( )8421BCD2、逻辑函数的三种基本运算是: 运算, 运算, 运算。3、TTL门电路74LS系列参数为:, ,

3、,。则输入低电平躁声容限为: ;输入高电平躁声容限为: 。 4、二进制加法计数器从0计到十进制数14需要 个触发器 8个触发器组成的二进制计数器有 个状态,可记录脉冲 的个数是 。5、集成计数器74LS163清零需要时钟脉冲,这种清零方式称 清零;集成计数器74LS161清零不需要时钟脉冲,这种清零 方式称 清零。6、施密特触发器输出由低电平转换到高电平和由高电平转换到低电 平所需输入触发电平不同,其差值称为 电压,该差值电 压越大,电路的抗干扰能力越 。二、用卡诺图化简下列逻辑函数:1、2、 ,已知约束条件三、写出下列门电路输出逻辑值或表达式。1、各门均为TTL门电路(,)&1AY1

4、Y2&&VCCY3AB5.1K0.5KRL2、各门均为CMOS门电路Y3&1AY1Y2A&ENY3AB010K10K四、图示为由4个全加器组成的逻辑电路1、列出全加器的真值表,指出组成的逻辑电路名称2、当,时B0CICOSiCICOSiCICOSiCICOSiB1B3A2B2A3A1A0S3S2S1S0五、试用中规模集成器件和门电路实现逻辑函数 1、用38线译码器74LS138实现,画出连线图。 Y0Y1Y2Y3Y4Y5Y6Y7A0A1A2STASTBSTC74LS138 2、用8选1数据选择器74LS151实现画出连线图D1D3D5D6D0D2D4D7A2A1

5、A0STY。74LS151六、在中规模集成移位寄存器74LS194中,若要寄存1101数码时,试分别画出在CP脉冲作用下的下面几种情况的时序图。(设寄存器初始状态为0)1DSR D0D1D2D3DSLS1S0Q0Q1Q2Q3CP74LS194RD1、 数码从DSR端串行输入2、 数码从D3D2D1D0端并行输入七、用74LS161构成计数器如下图所示,请分析M=1和M=0时分别为几进制计数器,并分别画出状态转换图。110M。&1。D0D1D2D3CTTCTPLDCRQ0Q1Q2Q3CPC074LS161数字电子技术模拟试题(二)一、填空题1、(11010101)B=( )D=( )H=

6、( )8421BCD2、逻辑代数的反演定律是: , ,3、CMOS门电路CC4000系列参数为:, , ,。则输入低电平躁声容限为: ;输入高电平躁声容限为: 。 4、十进制加法计数器由 个触发器组成,有 个状态, 可记录脉冲的个数是 。5、4位移位寄存器,经过 个CP脉冲之后,4位数码恰好全 部串行移入寄存器,再经过 个CP脉冲可得串行输出。6、单稳态触发器有 个稳定状态,施密特触发器有 个稳定状态。二、化简下列逻辑函数:1、2、 ,已知约束条件三、写出下列门电路输出逻辑值或表达式。1、各门均为TTL门电路(,)&10Y1Y2&&VCCY3A05.1K0.5KRL2、

7、各门均为CMOS门电路Y3&10Y1Y2A&ENY3AB010K10K四、下图为多数表决电路,1、写出表达式列出真值表。2、说明电路逻辑功能。YABC&&&&五、试用中规模集成器件38线译码器74LS138和门电路实现逻辑函数,画出连线图。 Y0Y1Y2Y3Y4Y5Y6Y7A0A1A2STASTBSTC74LS138D1D3D5D6D0D2D4D7A2A1A0STY。74LS151六、中规模集成器件8选1数据选择器74LS151实现画出连线图七、设各触发器初始状态均为0,试画出在CP信号作用下,各触发器输出端的波形。JKCPQ11DCPQ2CP数

8、字电子技术模拟试题(三)一、填 空:学号: 1 = ( = ( = ( = ( = ( = ( )2对于JK触发器的两个输入端,当输入信号相反时构成 触发器,当输入信号相同时构成 触发器。3组合逻辑电路的冒险现象是由 引起,表现为 脉冲。班级: 4常见的脉冲产生电路有 ,常见的脉冲整形电路有 。5一个石英晶体多谐振荡器的晶体元件标称频率是6MHz,其电容为30pF,电阻为1K,则该电路输出信号的频率是 。6A / D转换器有两种量化方法,一种方法的量化单位是 ,量化误差是 ;另一种方法的量化单位是 ,量化误差是 。7就逐次渐近型和双积分型两种AD转换器而言, 抗干扰能力强; 转换速度快。8具有

9、双极性输出的D/A转换器,输入数字量为补码形式,其参考电压源为-8V,则当输入的数字量为101时,其输出电压为 。二简答题:1将逻辑函数化简为最简或与式:F=(A+B)(+B)(+)(A+C+D)2用卡诺图法将下列逻辑函数化简为最简与或式:F=m(0,1,2,3,6,8)+d(10,11,12,13,14,15) 3主从JK触发器的输入波形如图所示,触发器的初始状态为Q=0,试画出端波形。 4指出图2-2中各门电路的输出是什么状态(高电平、低电平或高阻态)。已知这些门电路都是74系列TTL电路。 6试用74LS160同步十进制加法计数器设计一个同步7进制加法计数器。三分析设计题:1用门电路设计

10、一个由三个输入端、一个输出端组成的判奇电路,其逻辑功能为:当奇数个输入信号为高电平时,输出为高电平,否则为低电平。要求画出真值表和电路图。 2下图所示电路是由四选一数据选择器构成的通用逻辑函数发生器,在四个控制端C3、C2、C1、C0的控制下,产生6种函数。如输出F分别实现:,时,C3、C2、C1、C0对应的数据状态分别是多少。 其中,四选一数据选择器的输出逻辑表达式为:3四位超前进位全加器74283组成如下所示电路。试说明在下述情况下电路输出CO和S3、S2、S1、S0的状态。(1)K=0,A3A2A1A0 = 0101,B3B2B1B0 = 1001(2)K=1,A3A2A1A0 = 10

11、11,B3B2B1B0 = 0110(3)K=0,A3A2A1A0 = 0101,B3B2B1B0 = 1110附录:174LS161/74LS160的功能表姓名: 班级: 2集成单稳态触发器74121的功能表学号: 数字电子技术模拟试题(四)一、单选题1. T触发器的状态方程是 。A B C D2. 在下列逻辑电路中,不是组合逻辑电路的有 。A 译码器B编码器C全加器D寄存器3. 下列对于存储器描述错误的是 。A 分为ROM和RAM两类BROM断电后数据不丢失CRAM可随时修改 D容量扩充只能用字扩展或位扩展4. 在下列功能表示方法中,不适合用于表示时序逻辑电路功能的是 。A 状态转换图B特

12、性方程C状态转换表D数理方程5. 求一个逻辑函数F的对偶式,下列哪种说法不正确:A “.”换成“+”,“+”换成“.”B原变量换成反变量,反变量换成原变量C变量不变D常数中的“0”换成“1”,“1”换成“0”。6. 欲把幅度变化较大不规则的输入波形变换为幅度一定与宽度一定的矩形脉冲,应选择 电路。A 多谐振荡器B基本RS触发器C单稳态触发器D施密特触发器7. 组合逻辑电路一般由_ _组合而成。A 门电路B触发器C计数器D寄存器8. 10位二进制D/A转换器的分辩率是 。A 1/10 B1/100 C1/1023 D1/10249. 用555定时器构成的施密特触发器,若电源电压为Vcc,控制电压

13、端VC不外接固定电压,则其上限阀值电压VT+、下限阀值电压VT-和回差电压VT分别为 。A B C D 10. 能完成两个1位二进制数相加并考虑到低位来的进位的电路称为 。A 编码器 B译码器 C全加器 D半加器11. 在下列逻辑电路中,不是组合逻辑电路的有 。A 译码器 B编码器 C全加器 D寄存器12. 在组合逻辑电路的常用设计方法中,可以用 来表示逻辑函数。A 真值表 B状态表C状态图D特性方程二、填空题1. 一个8位D/A转换器,当输入为10000001时输出电压为5V,则输入为01010000时,输出电压为( )V。2. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫(

14、)。3. 请将十进制数112转换为十六进制数( )。将二进制数(10100.011)2转换为八进制数( )。4. 可编程逻辑器件除了应用原先的 PROM、EPROM、E2PROM 电路结构外,还发展成( )、( )、( )、ISP-PLD、FPGA、及isp GDS 等。5. 对于JK触发器,若J=K,则可完成( )触发器的逻辑功能;若 J=K=1,则可完成( )触发器的逻辑功能。6. 请将十进制数58转换为二进制数( )。将二进制数(101001.1101)2转换为十进制数( )。7. 对于D触发器,在不使用直接置数端时,若现态为0,欲使次态为1,输入D=( );对于T触发器,欲使 ,输入T

15、=( )。8. 逻辑代数中的三种基本运算是( )、( )、( )。9. 随机存储器RAM分为( )和( )两大类。10. 石英晶体多谐振荡器可以产生( )的时钟脉冲。11. 组合逻辑电路是指任何时刻电路的输出仅由当时的( )决定。12. T触发器的特性方程为( )。D触发器的特性方程是( )。13. 将二进制数(110100101101)2转换成十进制数是( ),转换成十六进制数是( )。14. 1位触发器可以存储( )位二进制信息,1位二进制信息有( )和( )二种状态。15. 已知 Inte1 2114 是 lk×4 位的 RAM 集成电路芯片,它有地址线( )条,数据线( )条

16、。16. 构成半导体存储器中一个字的二进制位数称为( )。又把8位数的字称为( ),16位称为( )。三、计算分析题1. 试用如下图所示4位同步二进制计数器 74LS163 (同步清零,同步置数)设计一个12进制计数器。2. 现有四台设备,每台设备用电均为10KW。若这四台设备用F1、F2两台发动机供电,其中F1的功率为10KW,F2的功率为20KW,而四台设备的工作情况是:四台设备不可能同时工作,但至少有一台设备工作,其中可能任意一台至三台同时工作。设计一个供电控制电路,以达到节电的目的。3. 十六进制计数器74LS161如下图所示,其功能表如下表所示。试设计一个7进制的计数器。4位同步二进

17、制计数器74161的功能表 工作状态×0×× ×置零10× ×预置数×110 1保持×11× 0保持(但C=0)111 1计数四、化简题1. 代数法化简:五、图解题1. 设触发器的初始状态为0,试画出在CP信号作用下触发器输出端Q11的电压波形 2. 设触发器的初始状态为0,试画出在CP信号作用下触发器输出端Q6的电压波形 3. 设触发器的初始状态为0,试画出在CP信号作用下触发器输出端Q10的电压波形 数字电子技术模拟试题(五)一、单选题1. 在二进制译码器中,若输入有4位代码,则输出有 信号。A 2个

18、B4个C8个D16个2. 在何种输入情况下,“或非”运算的结果是逻辑“1” 。A 全部输入是“0”B任意输入是“1”C仅一输入是“1”D全部输入是“1”3. 当CMOS主从边沿D触发器的异步置0端RD=0和异步置1端SD=1 时,则触发器的次态 。A 与CP和D有关B与CP和D无关C只与CP有关D只与D有关4. 如下图电路,设现态Q1Q2=10,经三个脉冲作用后,Q1Q2的状态应为 。A10 B00 C11 D015. 逻辑函数Y = AB 与 Y = AB满足 关系。A 互非B对偶C相等D无任何关系6. 函数是最简 表达式。A 或与B与或C与非与非D或非或非7. 标准与或式是由 构成的逻辑表

19、达式。A 最大项之积 B最小项之积C最大项之和D最小项之和8. 组合逻辑电路一般由_ _组合而成。A 门电路B触发器C计数器D寄存器9. 将模拟信号转换为数字信号,应选用 。A DAC电路BADC电路C译码器D可编程器PLD二、判断题1. 已知、 是2个与非门构成的基本 RS 触发器的输入端,则约束条件为下列选项中的D。( )A R+S = 1 BR+S = 0 CRS = 1 DRS = 02. 正边沿D触发器,在时钟脉冲CP正边沿到来前D为1,而CP正边沿后D变为0,则CP正边沿后输出状态为应选为下列选项中的B。( )A Q = 0 BQ = 1 C D3. 同或逻辑函数 Z 对应的逻辑图

20、是下列选项中的D。( )答案A 答案B 答案C 答案D 4. 与TTL电路相比,CMOS电路具有功耗低,结构相对简单,便于集成化等优点。( )5. 欲实现一个三变量组合逻辑函数,应选用下列选项中的C。( )A 编码器 B译码器 C数据选择器 D6. 由或非门构成的基本RS触发器,当R = 1,S = 0时,则输出状态应选为下列选项中的B。A Q = 0 BQ = 1 C D7. 当集成维持-阻塞D 型触发器的异步置0 端异步置1端时,则触发器的次态,其工作状态应选择下列选项中的B。( )A 与CP 和D 有关 B与CP 和D 无关 C只与CP 有关 D只与D 有关8. 可以用来实现串/并转换的

21、器件为下列选项中的B 所指。( )A 计数器 B移位寄存器 C存储器 D全加器三、填空题1. 逻辑函数F=AB+C的最小项表达式为 ( )。2. T触发器的状态方程是( ),JK触发器的状态方程是( )。3. 逻辑代数中的三种基本运算是( )、( )、( )。4. 用对偶规则写出逻辑函数的对偶式是( )。5. 构成半导体存储器中一个字的二进制位数称为( )。又把8位数的字称为( ),16位称为( )。6. 用对偶规则写出逻辑函数的对偶式是( )。7. 对于T触发器,若现态为0,欲使次态为1,输入T=( ),若现态为1,欲使次态仍为1,输入T=( )。8. 请将十进制数342转换为二进制数( )

22、。将二进制数(111011.0111)2转换为十进制数( )。9. 在( )电路中,任一时刻的输出信号不仅取决于当时的输入信号,而且还取决于电路原来的状态。10. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫( )。11. (100101010011.00110111)8421BCD表示的十进制数是( )。12. 逻辑函数F(A,B,C)=A+BC的最小项表达式是 ( )。13. 一个倒T网络的10位D/A转换器,VREF = +5V,Rf = R, 则当D = (0101010100)2 时,对应的输出电压Vo = ( )。14. 请将十进制数102转换为二进制数( )。将二进

23、制数(11011.1010)2转换为十进制数( )15. R-2R倒T形电阻网络数模转换电路必须外加( )电源,其极性必须与单极性输出电压极性( )。16. 已知 Inte1 2114 是 lk×4 位的 RAM 集成电路芯片,它有地址线( )条,数据线( )条。17. 在一片存储器芯片的存储容量不够用时,可以采用( )方法将多片存储器芯片组合起来。根据增加字数或位数的需要,通过( )或( )方法来解决。18. 只读存储器ROM的掩模ROM的存储单元是通过( )或( )器件的有无来存储1、0信息,而可编程ROM即PROM的存储单元是通过( )通断来存储1、0信息。19. 1位触发器可

24、以存储( )位二进制信息,1位二进制信息有( )和( )二种状态。20. 以输出二进制代码的位数表示分辨率的高低,位数越多,说明量化误差越( ),转换精度越( )。四、计算分析题1. 用与非门设计三变量的多数表决电路。2. 试用如下图所示4位同步二进制计数器 74LS163 (同步清零,同步置数)设计一个5进制计数器。3. 十六进制计数器74LS161如下图所示,其功能表如下表所示。试设计一个6进制的计数器。4位同步二进制计数器74161的功能表 工作状态×0×× ×置零10× ×预置数×110 1保持×11

25、15; 0保持(但C=0)111 1计数五、化简题1. 六、图解题1. 设触发器的初始状态为0,试画出在CP信号作用下触发器输出端Q7的电压波形 2. 设触发器的初始状态为0,试画出在CP信号作用下触发器输出端Q5的电压波形 数字电子技术模拟试题(六)一、单选题1. 在组合逻辑电路的常用设计方法中,可以用 来表示逻辑函数。A 真值表 B状态表 C状态图 D特性方程 2. 能把缓变输入信号转换成矩形波的电路是 。A 单稳态触发器B多谐振荡器C施密触发器 D边沿触发器3. 在构成D/A转换器的电路中,不属于D/A转换器组成部分的是 。A 数码锁存器B电子开关C电阻网络D译码器4. 在下列各图中,异

26、或逻辑对应的逻辑图是 。A BCD5.若4位同步二进制加法计数器当前的状态是0111,下一个输入时钟脉冲后,其内容变为 。A 0111 B0110 C1000 D00116. 在何种输入情况下,“或非”运算的结果是逻辑“1” 。A 全部输入是“0”B任意输入是“1”C仅一输入是“1”D全部输入是“1”7. 若JK触发器的原状态为0,要在CP作用后仍保持为0状态,则JK的值应是 。A J=1,K=1 BJ=1,K=0 CJ=0,K=× DJ=×,K=×8. 如下图电路,设现态Q1Q2 = 00,经三个脉冲作用后,Q1Q2 的状态应为 。A 10 B00 C11 D0

27、19. 若一个10位二进制A/D转换器的满刻度输出电压为Vomax = 10.23V, 当输入 D = (1100000010)2时,输出电压为 V 。A 2.56 B5.12 C7.7 D8.5810. 标准与或式是由 构成的逻辑表达式。A 最大项之积 B最小项之积C最大项之和D最小项之和11. 如下图电路,设现态Q1Q2=10,经三个脉冲作用后,Q1Q2的状态应为 。A10 B00 C11 D0112. 在下列逻辑电路中,不是组合逻辑电路的有 。A 译码器B编码器C全加器D寄存器二、填空题1. 施密特触发器的回差的主要作用是( )。2. 逻辑函数F = A+CD的最小项表达式为( )。3.

28、 将二进制、八进制和十六进制数转换为十进制数的共同规则是( )。4. 请将十进制数43转换为二进制数( )。将二进制数(0.0010)2转换为十进制数( )。5. 若n是输入信号的有效位数,则D/A转换器的分辨率是( )。6. 用4k×8位的RAM芯片组成16k×8位的存储器需要( )片,组成32k×8位的存储器需要( )片。7. 对于T触发器,若现态为0,欲使次态为1,输入T=( ),若现态为1,欲使次态仍为1,输入T=( )。8. 逻辑函数F(A,B,C)=A+BC的最小项表达式是 ( )。9. 请将十进制数342转换为二进制数( )。将二进制数(111011

29、.0111)2转换为十进制数( )。0. R-2R倒T形电阻网络数模转换电路必须外加( )电源,其极性必须与单极性输出电压极性( )。11. 对于D触发器,在不使用直接置数端时,若现态为0,欲使次态为1,输入D=( );对于T触发器,欲使 ,输入T=( )。12. 多谐振荡器是用来产生( )的电路;脉冲整形电路可由( )触发器和( )触发器来完成。13. 组合逻辑电路是指任何时刻电路的输出仅由当时的( )决定。14. 构成半导体存储器中一个字的二进制位数称为( )。又把8位数的字称为( ),16位称为( )。15. 可编程逻辑器件除了应用原先的 PROM、EPROM、E2PROM 电路结构外,

30、还发展成( )、( )、( )、ISP-PLD、FPGA、及isp GDS 等。16. 随机存取存储器中的信息代码随时可按指定地址进行( )或( ),但当失去电源后, 所存储代码将会全部( )。17. 单稳态触发器具有一个( )和一个( )。18. 在最小项的性质中有:n变量的全体最小项之和为( ),任意两个最小项之积为( )。19. (100101010011.00110111)8421BCD表示的十进制数是( )。20. 根据逻辑功能的不同特点,可以把数字电路分成( )和( )两大类。三、计算分析题(每题10分)1. 用与非门设计三变量的多数表决电路。2. 试用如下图所示4位同步二进制计数

31、器 74LS163 (同步清零,同步置数)设计一个12进制计数器。3 十六进制计数器74LS161如下图所示,其功能表如下表所示。试设计一个7进制的计数器。4位同步二进制计数器74161的功能表 工作状态×0×× ×置零10× ×预置数×110 1保持×11× 0保持(但C=0)111 1计数四、化简题1. 代数法化简:五、图解题1. 设触发器的初始状态为0,试画出在CP信号作用下触发器输出端Q11的电压波形 2. 设触发器的初始状态为0,试画出在CP信号作用下触发器输出端Q6的电压波形 3. 设触发器的

32、初始状态为0,试画出在CP信号作用下触发器输出端Q10的电压波形 数字电子技术模拟试题(七)一、分析波形1、用示波器观察到如图1所示波形,分析确定出几进制计数器,并画出状态转换图。图112、给出A、B输入和F输出波形如图2,分析转化成真值表,写出表达式,并画出逻辑电路图。 图2二、给出集成件74LS194二个,请分别设计出右移(M1=L,M0=H): 1、环形计数器,并写出有效状态转换图; 2、扭环形计数器,并写出有效状态转换图。VCCCRM0Q0Q2Q3DSLQ1DSRM1GNDD0D1D2D3CP VCCCRM0Q0Q2Q3DSLQ1DSRM1GNDD0D1D2D3CP图33三、给出集成件

33、74LS163一个,请设计出10进制加法计数器,并写出状态转换图。74LS163图4 4 四、时序逻辑电路如图5所示,试用方程法分析其计数状态。(不查自启动)图5 五、74LS163两片连接如图6所示计数电路,试分析为几进制计数器,并分别写出状态转换图。图6数字电子技术模拟试题(八)一、单选题1. 在8位D/A、转换器中,其分辨率是 。A 1/8 B1/256 C1/255 D1/22. 可以用来实现并/串行转换和串/并行转换的器件是 。A 计数器B移位寄存器C全加器D存储器1 3. 求一个逻辑函数F的反函数,下列哪种说法不正确: 。A “.”换成“+”,“+”换成“.”B原变量换成反变量,反

34、变量换成原变量C变量不变D常数中的“0”换成“1”,“1”换成“0”4. 下列触发器中没有约束条件的是 。A 基本RS触发器 B主从RS触发器C同步RS触发器D边沿D触发器5. 同或逻辑对应的逻辑图是 。A BCD6. 为把50Hz的正弦波変成周期性矩形波,应当选用 。A 施密特触发器B单稳态电路C多谐振荡器D译码器7. 一个4位移位寄存器原来的状态为0000,如果串行输入始终为1,则经过4个移位脉冲后寄存器的内容为 。A 0001B0111C1110D11118. 已知TCP是8位逐次渐近型A/D转换器的输入时钟周期,则完成一次转换需要的时间是 TCPA 8 B9 C10 D119. 在何种

35、输入情况下,“与非”运算的结果式为逻辑“0”A全部输入是“0”B任意输入是“0”C仅一输入是“0”D全部输入是“1”10. 1、555定时电路端不用时,应当 。A 接高电平 B接低电平C通过0.01µF的电容接地D通过小于500的电阻接地11. 单稳态触发器可用来 。A 产生矩形波B产生延迟作用C存储器信号D把缓慢信号变成矩形波12. 如下图所示电路中,CP脉冲的频率为4KHZ,则输出端Q的频率为 。 A 1 kHZ B2 kHZ C4 kHZ D8 kHZ13. 存在约束条件的触发器是 。A 基本RS触发器 BD锁存器 CJK触发器 DD触发器14. 时序逻辑电路中一定包含 。A

36、触发器 B组合逻辑电路 C移位寄存器 D译码器15. 在下列逻辑电路中,不是组合逻辑电路的有 。A 译码器 B编码器 C全加器 D寄存器二、填空题1. 逻辑函数F=AB+C的最小项表达式为 ( )。2. 试问存储容量为512×8位的RAM,有( )位地址输入线、( )字线和( )位线。3. 常用的A/D转换电路是( )A/D转换器。4. 随机存取存储器中的信息代码随时可按指定地址进行( )或( ),但当失去电源后, 所存储代码将会全部( )。5. 请将十进制数342转换为二进制数( )。将二进制数(111011.0111)2转换为十进制数( )。6. 只读存储器是用来存放固定不变的(

37、 二 )进制数码,在正常工作时,只能( )存储代码, 而不能( )代码。当失去电源后,其信息代码不会( )。7. RAM的优点是( ),缺点是( )。8. 若n是输入信号的有效位数,则D/A转换器的分辨率是( )。9. 用4k×8位的RAM芯片组成16k×8位的存储器需要( )片,组成32k×8位的存储器需要( )片。10. 对于JK触发器,若J=K,则可完成( )触发器的逻辑功能;若 J=K=1,则可完成( )触发器的逻辑功能。11. 在数字信号的传输过程中,有时需要从一组输入数据中选出某一个来,这时用到的逻辑电路叫做( )。12. A/D转换器电路中首先要对模

38、拟信号进行( )、然后再进行( )和( )。13. 逻辑变量和函数只有( )和( )两种取值,而且它们只是表示两种不同的逻辑状态。14. 若一个14位D/A转换器的满刻度输出电压为Vomax = 10V, 当输入D =(10111010101111)2时,输出电压为( )V。15. 一个倒T网络的10位D/A转换器,VREF = +5V,Rf = R, 则当D = (0101010100)2 时,对应的输出电压Vo = ( )。16. 在将两个多位二进制数相加时,除了最低位以外,每一位都应该考虑来自低位的进位,这种运算称为( )。17. 欲把输入的正弦波信号转换成同頻的矩形波信号,可采用( )电路。18. 已知 Intel 2732 是 4k×8 位的 ROM 集成电路芯片,它有地址线( )条,数据线( )条。19. 一个10位D/A转换器的每个量化单位电压表示0.025V电压,则它最大能表示( )V电压。20. 用对偶规则写出逻辑函数的对偶式是( )。21

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论