




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、实验5数码管显示设计数码管显示设计一、实验内容与要求一、实验内容与要求u给出给出VHDLVHDL设计程序,进行编辑,编译,综合,适配,仿设计程序,进行编辑,编译,综合,适配,仿真,给出仿真波形,进行硬件测试。真,给出仿真波形,进行硬件测试。u扩展:实现在扩展:实现在8 8个数码管上同时显示同学们的学号(后个数码管上同时显示同学们的学号(后8 8位,位,如如20092150192009215019)u从左到右一次显示为从左到右一次显示为1212215019215019,完成老师给定的任意字符,完成老师给定的任意字符的实现,回答老师部分问题的实现,回答老师部分问题二、实验目的二、实验目的(1 1)
2、学习顺序描述语句)学习顺序描述语句casecase的使用方法的使用方法(2 2)学习)学习7 7段数码显示译码的设计段数码显示译码的设计(3 3)学习硬件扫描电路的设计(动态扫描与静)学习硬件扫描电路的设计(动态扫描与静态扫描)态扫描)三三、背景知识背景知识(1 1)数码管原理)数码管原理 (a a)引脚图)引脚图 b b)共阴极)共阴极 (c c)共阳极)共阳极 图图2-5-12-5-1。表2-5-1 数码管显示编码(2 2)动态显示动态显示所有数码管的所有数码管的8 8个显示笔划的同名端连在一起,另外个显示笔划的同名端连在一起,另外为每个数码管的公共极为每个数码管的公共极COMCOM增加增
3、加位选通控制电路位选通控制电路,位,位选通由各自独立的选通由各自独立的I/OI/O线控制。如有线控制。如有8 8个数码管,则一个数码管,则一共需要共需要1616个个I/OI/O口(口(8 8个段选、个段选、8 8个位选),其中个位选),其中k1k1k8k8是位选信号。当输出字形码时,所有数码管都接收到是位选信号。当输出字形码时,所有数码管都接收到相同的字形码,但究竟是哪个数码管会显示出字形,相同的字形码,但究竟是哪个数码管会显示出字形,取决于对位选通取决于对位选通COMCOM端电路的控制,所以我们端电路的控制,所以我们只要将只要将需要显示的数码管的选通控制打开(以共阴数目管为需要显示的数码管的
4、选通控制打开(以共阴数目管为例,低电平选中相应数码管),该位就显示出字形,例,低电平选中相应数码管),该位就显示出字形,没有选通的数码管就不会亮。没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的通过分时轮流控制各个数码管的的COMCOM端,就使各个端,就使各个数码管轮流受控显示,这就是动态驱动。数码管轮流受控显示,这就是动态驱动。背景知识背景知识所谓所谓动态扫描显示动态扫描显示即轮流向各位数码管送出字即轮流向各位数码管送出字型码,尽管实际上各位数码管并非同时点亮,型码,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,利用发光二极管的但只要扫描的速度足够快,利用发光二极管的余辉
5、和人眼视觉暂留作用,使人感觉各位数码余辉和人眼视觉暂留作用,使人感觉各位数码管同时在显示。动态显示的亮度比静态显示要管同时在显示。动态显示的亮度比静态显示要差一些,但是能够节省大量的差一些,但是能够节省大量的I/OI/O端口,而且端口,而且功耗更低。功耗更低。8位数码动态扫描显示电路四.实验方案观察模式观察模式5电路,发现电路,发现8位数码管的段选线是连在一起的,同时有位数码管的段选线是连在一起的,同时有8个位选线,个位选线,因此我们只能采用动态扫描的显示形式。因此我们只能采用动态扫描的显示形式。【例【例2-5-1】 library ieee; use ieee.std_logic_1164.
6、all; use ieee.std_logic_unsigned.all; entity scan is port( clk:in std_logic; -动态扫描频率动态扫描频率 seg:out std_logic_vector(6 downto 0); -段信号控制输出段信号控制输出 dig:out std_logic_vector(7 downto 0); -位控制信号输出位控制信号输出end;architecture one of scan is signal ain: integer range 0 to 15; signal abc: std_logic_vector(2 down
7、to 0); beginp0:process(clk) begin if clkevent and clk=1 then abcdig=11111110;aindig=11111101;aindig=11111011;aindig=11110111;aindig=11101111;aindig=11011111;aindig=10111111;aindig=01111111;ainnull; end case; end process p1;提问:(1)从左到右显示是什么?(2)当abc的数值不同时,dig的值与这个顺序相反会怎样?(3)若ain的值放不同的数字会怎样?p2:process(a
8、in) -译码电路译码电路 begin case ain is when 0= seg seg seg seg seg seg seg seg seg seg seg seg seg seg seg segnull; end case; end process p2;end;五.实验步骤与结果波形仿真正确后,进行硬件验证。请同学们自行查找确认引脚号。数码管的扫描频率需要在适当的范围内:频率过低,则不能满足同时显示的要求;频率过高,则会使数码管在显示中有残留,几位相互影响。一般而言,选择几十选择几十KHz的频率的频率作为扫描频率比较恰当作为扫描频率比较恰当。观察:扫描频率在几HZ和几十KHZ的时
9、候的现象;SEG7-DP;SEG0-a;DIG7-左第一个数码管;DIG0-右第一个数码管六.检查1.波形仿真,会讲2.硬件验证,操作及讲解3.TOOLS-NETLIST VIEWS-观察RTL VIEWS(布局布线前产生,不是设计的最终电路结构);TECHNOLOGY MAP VIEWS(POST MAP);TECHNOLOGY MAP VIEWS区别,会讲得出;4.思考本设计所占用器件的资源,能回答出老师提问;七.实验引申(1 1)在例)在例2-5-12-5-1的基础上,修改程序,实现在的基础上,修改程序,实现在8 8个数码管上同时个数码管上同时/ /轮轮流流显示同学们的学号显示同学们的学
10、号或生日或任意字符(左到右或从右到左)!或生日或任意字符(左到右或从右到左)!(2 2)设计一个模)设计一个模2424的计数器,在数码管上显示计数过程和结果。的计数器,在数码管上显示计数过程和结果。 提示:首先构成模提示:首先构成模2424的十进制计数器,将计数结果按照个位的十进制计数器,将计数结果按照个位cnt1cnt1和十位和十位cnt2cnt2分开保存。然后采用动态扫描显示,设置数码管位分开保存。然后采用动态扫描显示,设置数码管位选信号选信号digdig,扫描第一位数码管时(,扫描第一位数码管时(dig=11111110dig=11111110),将个位数),将个位数据赋值给信号据赋值给信号datadata(data=cnt1data=cnt1);扫描第二位数码管时();扫描第二位数码管时(dig=11111101dig=11111101),将十位数据赋值给信号),将十位数据赋值给信号data(data=cnt2)data(data=cnt2)。最。最
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 商场施工清场方案(3篇)
- 电梯环境改造方案(3篇)
- 景区门楼改建方案(3篇)
- 基础护理教学教学课件
- 服装导购绩效方案(3篇)
- 样品生产控制方案(3篇)
- 民族地区草场承包与民族团结进步协议
- 菜鸟驿站快递网点转让与经营规范协议
- 出租车驾驶员心理健康保障合同
- 厂房拆除与拆除区域安全防护与交通管制合同
- 商务英语写作实践智慧树知到答案章节测试2023年中北大学
- 社会治安动态视频监控系统工程建设方案
- 脱硫塔玻璃鳞片胶泥衬里施工组织设计
- XB/T 505-2011汽油车排气净化催化剂载体
- GB/T 3672.2-2002橡胶制品的公差第2部分:几何公差
- GB/T 27744-2021异步起动永磁同步电动机技术条件及能效分级(机座号80~355)
- GB 8076-2008混凝土外加剂
- 宝盾转门故障代码
- 【课件】草原上的小木屋
- 医务人员违规行为与年度考核挂钩制度
- 空调维保质量保障体系及措施方案
评论
0/150
提交评论