




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 基于Quartus II的硬件描述语言电路设计一、实验要求 基本要求 1: 由四个数码管显示的计时电路, 低两位按照 20 进制设计,高两位按照 11进制设计。附加要求 1: 该计时电路具有校准功能,可以按 1Hz 频率校准高两位的显示,可以按 10Hz频率校准低两位的显示;附加要求 2: 高两位的进制可以任意设置。(不需要从新编译电路)附加要求 3:在计数到达某整点值时例如 0300 的时刻 (该值可以根据老师的要求设置),4盏 LED 灯一起按照 10Hz 闪烁 5 秒钟。2、 实验代码与器件显示1. 选择器的VHDL源文件module xuanze(clk0,clk1,choose,c
2、lk);input clk0;input clk1;input choose;output clk;reg clk;always if ( choose = 1b1 ) beginclk = clk0;end else if ( choose = 1b0 ) beginclk = clk1;endEndmodule生成的器件:选择器功能描述:选择1kHz和10kHz其中一个作为输出信号2. 分频器的VHDL源文件library ieee;use ieee.std_logic_1164.all;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNS
3、IGNED.ALL;entity fenpin isport(clk:in std_logic;-input clk 50MHzclk_1Hz :out std_logic;clk_10Hz :out std_logic);-输出clkend fenpin;architecture fwm of fenpin isconstant m:integer:=2500000;signal tmp1:std_logic;signal tmp2:std_logic;beginprocess(clk)variable cout1:integer:=0;variable cout2:integer:=0;b
4、eginif clkevent and clk=1 thencout1:=cout1+1;cout2:=cout2+1;if cout1=m*10 then tmp1=0;elsif cout1m*20 then tmp1=1;else cout1:=0;end if;if cout2=m then tmp2=0;elsif cout2m*2 then tmp2=1;else cout2:=0;end if;end if;end process;clk_1Hz=tmp1;clk_10Hz=tmp2;end fwm;生成的器件:分频器功能描述:输入50MHz的时钟信号,经分频器后输出频率为1Hz
5、和10Hz的两个信号。3. 计数器的VHDL源文件(2个)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity djishu isport(clk : in std_logic;d1 : out std_logic_vector(3 downto 0);d2 : out std_logic_vector(3 downto 0);c : out std_logic);END djishu;architecture fwm of djishu issignal dat1:std_logic_v
6、ector(3 downto 0);signal dat2:std_logic_vector(3 downto 0);BEGINprocess(clk)begin if clkevent and clk = 1 thenif dat1 = 1001 thendat1 =0000;if dat2 = 0001 thendat2 =0000;c=1;elsedat2=dat2+1;c=0;end if;else dat1=dat1+1; c=0;end if;end if;end process;d1 = dat1;d2 = dat2;end fwm;生成的器件:低位计数器功能:将输出转换为两位十
7、进制数LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_arith.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity gjishu isport(clk : in std_logic;jinzhi : in std_logic_vector(4 downto 0);g1 : out std_logic_vector(3 downto 0);g2 : out std_logic_vector(3 downto 0);END gjishu;architecture fwm of gjishu
8、issignal dat1:std_logic_vector(4 downto 0);signal dat2:std_logic_vector(4 downto 0);signal data1:std_logic_vector(3 downto 0);signal data2:std_logic_vector(3 downto 0);BEGINprocess(jinzhi,clk)variable q1:integer range 0 to 255;variable q2:integer range 0 to 255;variable a:integer range 0 to 255;begi
9、n if clkevent and clk = 1 thena := conv_integer(jinzhi);if a = 0 thenif dat1 = 01010 thendat1 =00000;elsedat1=dat1+1;end if;q1:=(conv_integer(dat1);q2:=(q1/10);data1 =conv_std_logic_vector(q1 rem 10,4);data2 =conv_std_logic_vector(q2 rem 10,4);elseif dat1 = (jinzhi-00001) thendat1 =00000;elsedat1=da
10、t1+1;end if;q1:=(conv_integer(dat1);q2:=(q1/10);data1 =conv_std_logic_vector(q1 rem 10,4);data2 =conv_std_logic_vector(q2 rem 10,4);end if;end if;end process;g1 = data1;g2 dis_outdis_outdis_outdis_outdis_outdis_outdis_outdis_outdis_outdis_outdis_out=1111111;END CASE;END PROCESS;END fwm;生成的器件:七段译码器5.
11、 控制LED闪烁的VHDL源文件module LED( clk,d1,d2,g1,g2,out );input clk;input 3:0 d1;input 3:0 d2;input 3:0 g1;input 3:0 g2;output 3:0 out;reg 3:0 out = 4b0000;parameter T5s = 6d50;reg 5:0 count; reg flag;always ( posedge clk )beginif ( g2 = 4d0 & g1 =4d0 & d2 = 4d0 & d1 = 4d0 )flag = 1b1;if ( flag = 1b1 )begincount = count + 1b1;out = out;if( count = T5s )beginflag = 1b0;out = 4b0000;count = 6d0;endendendEndmodule三功能描述:四个数码管显示的计时电路, 低两位按照 20 进制设计,高两位按照 11
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025-2030感觉统合训练器材行业标准制定与质量监管建议
- 2025-2030微结构光纤在生物传感领域的科研成果转化分析报告
- 2025-2030律师事务所行业移动办公解决方案研究报告
- 2025-2030律师事务所行业法律人工智能伦理问题分析报告
- 2025-2030律师事务所行业新兴市场进入策略与风险评估
- 2025-2030律师事务所行业政策环境及合规管理调研报告
- 2025-2030律师事务所行业市场需求变化与战略调整分析报告
- 电信网络故障快速处理手册
- 一般的施工方案需要几份
- 分包施工方案盖谁的章呢
- 医院中医科常见病症诊疗规范
- 2025年互联网保险市场国际化发展策略研究报告
- 《电子商务概论》(第6版) 教案 第11、12章 农村电商;跨境电商
- 车辆改装施工方案模板
- 2025年-中华民族共同体概论-第十五讲 新时代与中华民族共同体建设(2012- )
- 到梦空间使用讲解
- 大象牙膏教学课件
- 酒店职业安全培训内容课件
- 贷款基础知识培训资料课件
- 【《老年高血压患者护理措施研究》6600字(论文)】
- 颅脑创伤急性期凝血功能障碍诊治专家共识(2024版)解读
评论
0/150
提交评论