PrimeTimeSI相关运用及概念_第1页
PrimeTimeSI相关运用及概念_第2页
PrimeTimeSI相关运用及概念_第3页
PrimeTimeSI相关运用及概念_第4页
PrimeTimeSI相关运用及概念_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、PrimeTime SI 相关运用及概念PrimeTime SI主要分析两种信号串扰: delay 和 static noise( noise bump or glitch )。PrimeTime SI认为两种情况不存在信号串扰:1) aggressor 和 victim 之间的耦合电容非常小;2) aggressor 和 victim 的信号 transition time 不相互交叠。在不交叠的时候,如果 aggressor 对 victim 产生的 glitch 足够大,使 victim 的逻辑发生变化,则 PrimeTime SI 也会考虑。如何使用 PrimeTime SI进行 cr

2、osstalk delay分析 ( analysis mode : on-chip variation ) 基于 PrimeTime 命令、 library 以及脚本,额外的步骤如下:1) 使能 PrimeTime SIset_app_var si_enable_analysis true2) 读取 SPEF文件中包含的耦合电容信息(确认SPEF包含耦合电容信息, StarRC是否有相应的 option ?)read_parasitics keep_capacitive_coupling file_name.spf3) 设置 PrimeTime SI performance 相关参数(选择设置

3、 set_app_var )PrimeTime脚本中 crosstalk report的相关命令report_si_bottleneckreport_delay_calculation -crosstalk -from pin -to pin Example:PrimeTime SI是如何运行的( two iteration )1) 基于 victim net 上 bump voltage 的值(通过设置 threshold )过滤掉影响很小的 aggressor net;2) 把没有被过滤的 net 作为 initial net 来进行 crosstalk 分析,也可自己定义;3) Dela

4、y calculation计算 timing delay 分为两个阶段, 第一阶段为悲观估计, 工具首先假设所有的 aggressor 会对 victim 在同一时刻产生信号串扰, 找出 worst-case delay values ;第二阶段考虑 timing window 以及 crosstalk 的 contribution ,即通过分析 aggressor net 的 transition time 以及 direction 看是 否会影响到 victim net 。设置 si_xtalk_exit_on_max_iteration_count 变量定义分析循环个数,默认为 2。Pr

5、imeTime SI分析时候考虑相关信号的 logic 因素,即会分析 aggressor net 上信号的 transition direction 对 victim net 造成 negative delay 或者 positive delay 。默认的变量 si_analysis_logic_correlation_mode 设置为 true 。设置相应的 threshold value 来过滤 aggressor netPrimeTime SI 也会根据 aggressor net 对 victim net 的 bump voltage 大小,来过滤掉那些耦合 影响可以忽略不计的 ag

6、gressor net 。先针对单个 aggressor net 过滤,根据变量 si_filter_per_aggr_noise_peak_ratio ,默认值为 0.01( power voltage 的百分之一) 。然后针对 周边 aggressor nets 组合产生的影响来过滤, 根据变量 set_filter_accum_aggr_noise_peak_ratio , 默认值为 0.03 。在使能 PrimeTime SI分析前, 需要确保电路综合及常规 STA没有问题。在用 report_timing 进行一次 crosstalk delay 分析前, 需要执行 check_ti

7、ming, 主要的 check 涉及以下几点:1) no_driving_cell 当电路中有 no driving cell 时,该 aggressor net 会产生很强的驱动产生 crosstalk;2) ideal_clocks 确保电路中的时钟为 propagated 时钟树结构;3) partial_input_delay 所有的 Inputs 需要定义完整的 max delay 和 min delay 定义,缺一不 可,为了 SI 分析提供精确的 timing window ;4) unexpandable_clocks 确保电路中所有不同频率的时钟都源自一个基准时钟。除了 id

8、eal_clocks 其他 check 都为 check_timing 的默认选项, 如需包含 ideal_clocks 的 check , 执行“ check_timing include ideal_clocks ”四条命令可以选择排除哪些不需要分析的 net 或者一组 net:set_si_delay_analysisset_si_noise_analysis set_si_aggressor_exclusion set_coupling_separation排除定义的 nets 进行 crosstalk delay 分析排除定义的 nets 进行 crosstalk noise 分析排

9、除 aggressor net 与其他 aggressor nets transition 方向相同 排除定义的 nets 或者一组 net 进行 crosstalk delay/noise 分析如果这些命令的定义存在矛盾时, set_coupling_separation 有最高优先级。set_case_analysis 的 net 不会进行 crosstalk delay/noise 分析。当 aggressor nets 有相同的 transition 方向 rise 或者 fall ,则可用 set_si_aggressor_exclusion 命 令建立 exclusive grou

10、p ,这样在 crosstalk delay/noise 分析时工具会选择 bump voltage 最大的 aggressor net 来分析。关于 SI分析时候 clock groups的设定复杂电路中会有许多时钟,在分析 timing 时需要分不同的 clock group 来进行分析,就上图简单的 mux 电路举例,可以 set_case_analysis SEL 1/0 分别对 CLK1和 CLK2进行两次分析, 但如果有许多时钟,那就必须用 set_false_path 来区分不同的 timing path ,比较简单的做法 是: set_clock_groups logicall

11、y_exclusive group CLK1 group CLK2 其作用相当于把所有的 clock 间都 set_false_path ,但不同于 timing path 分析, SI 分析的时候 还是会对 CLK1和 CLK2间的耦合电容 x4进行分析,所以需要在 SI分析时对 clock 进行物理 上的划分: set_clock_ groups physically_exclusive group CLK1 group CLK2 这样 PrimeTime SI 不会分析基于耦合电容 x4 产生的 CLK1和 CLK2之间的 crosstalk,当然 x1 之间的 CLK1 和 CLK2

12、也被忽略,如果仅仅想忽略 mux 输出后电路中 CLK1和 CLK2之间的 SI 分析(因为实际电路工作中 mux 只可能会输出 CLK1或 CLK2),那需要在 mux 的输出节点使 用 create_generated_clock 命令分别产生 CLK1和 CLK2,然后再进行物理上的划分即可。Composite Aggressor Mode( Delay Analysis)PrimeTime SI 做 delay 分析时默认不使能 composite aggressor mode 。 因为对于一个 victim net 而言会有许多不同情况的 aggressor nets ,根据之前提到

13、过滤的方法, 可以将那些影响忽略不计的 aggressor net 滤掉,只考虑 bump voltage 大的 aggressor,当然 这样分析并不完全非常的精确,所以可以使能 composite aggressor mode 来对全部的 aggressor nets 进行综合分析。 在 composite aggressor mode 下,会将影响较小的 aggressor net 合成一根 aggressor net(虚拟的)来进行分析。使能该模式,设置 si_xtalk_composite_aggr_mode 变量为 statistical 。设置 si_xtalk_composit

14、e_aggr_noise_peak_ratio 变量定义 bump threshold 来划分哪些为影响 较小的 aggressor net。如何生成 crosstalk analysis report1)report_timing 生成包含 crosstalk delay 信息的 slack timing report 。需加上 option crosstalk_delta , PrimeTime SI 在 report 中显示 delta delay 和 delta transition 信息在 cell 的 input pins ;2)report_si_bottleneck 生成造成主

15、要 violation 的 aggressor nets 及 victim nets 。 -slack_less_than 选项可以限定 delta delay 的范围;3)report_delay_calculation crosstalk 生成某一 victim net 详细的 crosstalk 计算信息-from 输出 pin to 输入 pin 。在 report 中 setup 分析时显示的 delta slew 为 positive 或 zero ,而 hold 分析时显示的 delta slew 为 negative 或 zero;4)report_si_double_swit

16、ching 生成包含 double-switch violations 的 victim nets 。 PrimeTime SI 除了会分析 steady-state victim net 上出现的 Noise ,也会分析 switching victim net 上出现的 noise,这种 情况成为 double-switching 。要进行 double-switching 检查必须有 CCS noise library model。使能 double-switching 检查,设置变量 si_xtalk_double_switching_mode 值为 clock_network (对时

17、钟网络进行 check),或者 full_design (对 data paths 进行 check )。 update_timing 开始 double-switching 的 check;5)report_noise 生成 static noise report ,即 victim net 上的 noise bump 。查看 crosstalk settings report_si_delay_analysisreport_si_noise_analysisreport_si_aggressor_exclusionPrimeTime SI 进行 crosstalk noise分析 flow

18、在用 report_timing 进行一次 crosstalk noise 分析前, 需要执行 check_noise,该命令涉及以下 option :1) -include 选择 noise model check 的类型,默认为 noise immunity checking ;2) -beyond_rail 决定是 beyond-rail noise checking 还是 between-rail noise checking(默认);3) -verbose 生成 summary report ;4) -nosplit 防止 report 中的长句出现 split ;set_noise

19、_parameters 命令相关 option-ignore_arrival 则所有的 aggressor nets 信号认为在同一时刻翻转, 不考虑 timing window ;-include_beyond_rails 会分析 above high 和 below low 两种 noise bump ,默认工具只分析 between-rail noise bump ;-enable_propagation 用于找出 worst violations ,默认工具只考虑 crosstalk noise 及 user defined noise ( no propagation );-anal

20、ysis_mode ( report_at_source/report_at_endpoint )定义了 report noise 的方式,默认 为 report_at_source 。前者提供一个详细的 noise propagated 信息,而后 者则更为直观地找出 violation 点,具体差异可见下图比较。report_at_sourcereport_at_endpoint如果在 report_at_endpoint 模式下要知道 violation 的源头 ,则可以使用以下两条命令: report_noise_violation_sources/get_noise_violatio

21、n_sourcesComposite Aggressor Mode( Noise Analysis)PrimeTime SI 做 noise 分析时默认不使能 composite aggressor mode 。 使能该模式,设置 si_noise_composite_aggr_mode 变量为 statistical 。 如果不想将某些 aggressor net 进行 composite 的分析,可使用如下命令: set_si_noise_disable_statistical/remove_si_noise_disable_statistical 如要查看哪些 aggressor net

22、s 参与了 composite 分析,则 report_noise_calculation 。PrimeTime SI 在进行 crosstalk noise分析时可基于三种 library 情况1) With incomplete library当 library 中 noise immunity 信息不明确时,可以使用如下命令进行设置· set_noise_lib_pin 定义当前设计中 pin 的 noise characteristics 信息引用其他 library 中相同 pin 的特性;· set_noise_immunity_curve定义设计中 outpu

23、t port 或 library cell input pin 的 noiseImmunity 相关信息,包括 height ,width , area;· set_noise_margin 如果没有 noise immunity 信息则定义 noise height ;·set_steady_state_resistance定义设计中 input port 或 library cell output pin 的 drive resistance,决定了 voltage bump 的大小。2) With CCS noise library基于 transistor-leve

24、l 的 SI 分析,动态的结果更为精确。如果通过 set_noise_immunity_curve 或 set_noise_margin 定义了 static 的 noise immunity 特性,则工具优先根据这些定义进行分析,而不是CCS noise library中的查找表。3) With nonlinear delay models ( NLDM)Library 需要包含以下 characteristics 用于 noise 分析:· Steady-State I-V Characteristics· Noise Immunity· Propagated

25、 Noise Characteristicslibrary 。不包含 noise model 信息,需使用 Synopsys Library Compiler 及 SPICE工具生成相应Fast analysis mode在 load Design 及 Library 之前, set_program_options enable_fast_analysis 。Stage delay conceptionStage delay = cell delay + net delay 可以分为 max/min rise/fall delays max delay 即 positive delta del

26、ay ,min delay 即 negative delta delay 。Recommended settings for StarRC ·COUPLE_TO_GROUND: NO ·REDUCTION: YES or LAYER · MODE: 200 for 130nm and below · MODE: 100 for above 130nm设置 clock group属性的命令优先级report_noise option-all_violators/-clock_pins/-async_pins/-data_pinsNoise时 PrimeTi

27、me SI所参照的 noise immunity 顺序? PrimeTime SI command-specified noise immunity curves (set_noise_immunity_curve)? PrimeTime SI command-specified bump height noise margins (set_noise_margin)? Library-specified per-arc noise immunity tables? Library-specified per-pin noise immunity curves? Library-specified DC noise margins (VOL, VOH, VIL, VIH)Run fast initial noise analysisset_noise_parameters ignore_arrival analysis_effort low report_noisePrimeTime SI 分析时三种 alignment mode通过设置变量 si_xtalk_delay_

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论