数字滤波器器文献综述_第1页
数字滤波器器文献综述_第2页
数字滤波器器文献综述_第3页
数字滤波器器文献综述_第4页
数字滤波器器文献综述_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、文献综述题目F.I.R滤波器的设计与仿真学生姓名罗帆专业班级电气工程及其自动化1124学号201150712435系(部)电气信息工程系指导教师邱完成时间2成3年3月12日FIR滤波器的设计与仿真摘要:FIR滤波器稳定性好、具有严格的线性相频特性和有限长的单位脉冲响应,能设计成多通带(或多阻带)滤波器组,在信号处理、通信、图像处理、模式识别等领域都有着广泛的应用。本文在研究FIR滤波器原理的基础上,提出采用窗函数法设计FIR滤波器组,并用Matlab进行仿真分析。实验分析表明,该方法实现简单,能满足技术指标的要求。关键词FIR滤波器组;窗函数;Matlab仿真刖百数字滤波器具有稳定、重复性好、

2、适应性强、性能优异、线性相位等优点。数字滤波器以冲激响应延续长度可分为两类:FIR滤波器(有限冲激响应滤波器)、IIR滤波器(无限冲激响应滤波器)。其中FIR滤波器的优点是:稳定性好,因为没有极点;精度高,因为它对以前的事件只有有限的记忆,积累误差小;易于计算机辅助设计,保证精度和线性相位。缺点是:要达到高性能,需要许多系数,要做较多的乘法操作,计算量大。而IIR滤波器的优点是:结构简单、系数少乘法操作少、效率高;与模拟滤波器有对应关系;可以解析控制,强制系统在特定点为零点;易于计算机辅助设计。缺点是:因为有极点,设计时要小心稳定性;因为它对以前的事件有长的记忆,易产生溢出、噪声、误差。数字滤

3、波器的设计一般都要经过3个步骤:确定指标、逼近和实现。(1)确定指标:在设计一个滤波器之前,必须首先确定一些技术指标,这些技术指标需要根据工程实际的需要来制定。指标的形式一般确定为频域中的幅度和相位响应;逼近:确定了滤波器的技术指标后,就可以利用数学和DSP勺基本原理提出一个滤波器模型来逼近给定的目标;(3)实现:我们得到了以差分或系统函数或冲激响应描述的滤波器,可以通过硬件或软件来实现。FIR数字滤波器设计方法有窗函数、频率取样和切比雪夫等波纹优化设计方法:(1)窗函数法:窗函数法设计的基本思想是把给定的频率响应通过IDTFT(InverseDiscreteTimeFourierTransf

4、orm),求得脉冲响应,然后利用加窗函数对它进行截断和平滑,以实现一个物理可实现且具有线性相位的HF®波器的设计目的。其核心是从给定的频率特性,通过加窗确定有限长单位脉冲响应序列h(n);(2)频率取样法:频率取样法设计的基本思想是把给出的理想频率响应进行取样,通过IDFT从频谱样点直接求得有限脉冲响应;(3)优化设计法:FIR滤波器的优化设计采用”等波纹最佳一致逼近”理论,利用MATLA班供的remez函数实现ParksMcClellan算法,设计滤波器逼近理想频率响应。所得到的最佳一致滤波器的频率响应具有等波纹特性。MATLA星由美国Mathworks公司开发的集数值计算、符号计

5、算和图形可视化三大基本功能于一体的,功能强大、操作简单的语言是国际公认的优秀数学应用软件之一,被广泛应用于仿真技术、自动控制和数子信号处理等领域。在许多数字信号处理系统中,如语音或音频信号处理中,有限脉冲响应(FIR)滤波器是最常用的组件之一,它完成信号预调、频带选择和滤波等功能。FIR滤波器虽然在截止频率的边沿陡峭性能上不及无限脉冲响应(IIR)滤波器,但是具有严格的线性相位特性,稳定性好,能设计成多通带(或多阻带)滤波器组,因此在数字信号处理领域得到广泛的应用。F.I.R数字滤波的原理对于一个FIR滤波器系统而言,其冲激响应总是有限长的,具系数可以表JV-1示为:其中N是FIR滤波器的延时

6、节数,即为通常说的FIR滤波器的阶数.最基本的FIR滤波器可以表示为其中:xn是输入采样序列,fn是滤波器系数,N是滤波器的系统长度,yn是滤波器的输出序列.就硬件实现而言,FIR滤波器可用直接型结构、级联型结构、频率采样型和快速卷积型结构等多种结构实现.在这些结构中,由于频率采样型和快速卷积型结构中需要进行复数运算,计算复杂,不适合用FPGA实现;级联型结构不便于调整系数,且乘法运算量较多;而直接型结构系数调整方便,总运算量较少.因此,用FPGA实现FIR滤波时,常采用直接型结构,其实现结够如图所示.图1FIR滤波器的直接型结构如果FIR滤波器的单位脉冲响应h(n)为实数,并且h(n)满足偶

7、对称或者奇由式(2)可以看出,FIR滤波器的阶数为N-1,长度为No系统输出只与输入的函数有关,而与过去的输出无直接关系,不含有反馈支路。其直接型结构如差分方程可描述为:二FIR滤波器的结构设有限长单位响应滤波器的单位脉冲响应h(n)为长度N的序列,其传递函数一般为:图1直接型FIR滤波实现结构旧(?)=?!=0h(N-2)Y/z(/V-l)f一灿)h(,一1一”)0WWN1,偶,对称L-(N-1-")0W&/V-1,奇对称则滤波器具有线性相位特性。当N为偶数时:I-11H(z)=£")二土fl=0当N为偶数时:jHU)二I()±*-一1+fj=

8、o其中“+”表示h(n)为偶对称;“”表示h(n)为奇对称。其网络结构如图2、图3所示1o图2N为偶数时的线性相位结构图3N为奇数时的线性相位结构三FIR滤波器设计与仿真设计一个16阶的FIR低通滤波器,该滤波器指标为:采样频率fs=10MHz截止频率fc=1.5MHz。3.1 滤波器系数的确定FIR滤波器的系数可用窗函数的方法来得到,窗函数法的基本要求是主瓣宽度最窄,并且旁瓣要尽可能小,在此选用海明(Hamming窗用于滤波器的设计。用Matlab提供的工具箱FDATool仿真设计滤波器,得到滤波器的系数2,滤波器的幅频特性满足指标要求,图4是符合上述指标要求的滤波器的幅度响应曲线。把获得的

9、滤波器系数导出为文本文件保存,16阶FIR滤波器的脉冲响应系数如下:人(0)=/?(15)=0.0024/?(I)=/?(14)=-0.0009力(2)=/(13)二-0.0120(3)=h(12)=-0.025I力(4)=/z(ll)=-0,0084力=/i(10)=0.0693A(6)=A(9)=0.1912A(7)=A(8)=0.286I50MagnitudeResponseindB50-100111111tt41*1B1i|iiV111Mt中aI4N|ft11V*-f114*1«1*«<,11ii<a*l>III*'1vttl>事.A

10、厂:、/u1,J1/i»i*i1f»tFt*t1.*1iitIA1«1卜.A,事Ift1ivtii¥i*t*i111VV11111t1t1jl1111122533.544.5Frequency/MHz图4滤波器的幅度响应曲线3.2 系数的量化Matlab模拟得到的脉冲型滤波器的系数都是浮点数,一般定点数的实现比较容易,因为其具有速度高和成本低的特点,浮点数的特点是具有比较高的动态范围而不需要换算,但是一般的仿真器不支持浮点数,因此需要把脉冲响应系数变为二进制数。在本设计中,先把得到的系数扩大212倍,然后转为对应的二进制的形式3。以上16个响应系数转化后

11、如下:/(0)=7/(15)=(9)10=(100lh力(|)=/(14)=一(3储。=-(11)2(2)=4(13)=一(49)|。二-(110001)2"(3)=/i(12)=-(102)10=-(1100110)2(4)=/?(!)=-九二-(100010)2介(5)=/?(10)=(283)10=(100011011)2h(6)=力(9)=(783)10=(1100001111)1力(7)=力(8)=(U7l)0=(100100100II)23.3 VHDL语言实现滤波器VHDL涵盖面广,抽象描述能力强,支持硬件的设计、验证、综合与测试。VHDL能在多个级别上对同一逻辑功能进

12、行描述,如,可以在寄存器级别上对电路的组成结构进行描述,也可以在行为描述级别上对电路的功能与性能进行描述。16阶FIR数字滤波器VHDL源程序如下:PACKAGEINT_DEFINEISSUBTYPEINT_SISINTEGERRANGE-32768TO32767;TYPEARRAYNT_SISARRAY(0TO15)OFINT_S;ENDINT_DEFINE;USEWORK.INTDEFINE.ALL;LIBRARYIEEE;USEIEEE.STD_LOGIC_1I64.ALL;USEIEEE.STDLOGICARITH.ALL;ENTITYFIR_16ISPORT(clk:INSTD_LO

13、GIC;x:ININTS;y:OUTINT_S);ENDFIR16-7ARCHITECTUREBHVOFFIR6ISSIGNALcoe:ARRAYINTS;BEGINPROCESSBEGINWAITUNTILelk二T;y<=8coe(O)+coe(0)+8宗coe(15)+coe£15)-2水coe(1)-coe(1)-2布coe(14)-coe(14)-32*coe(2)-16*coe(2)-coe(2)-32宗coe(13)-16*coe(13)-coe(13)-64*coe(3)-32*coe(3)-4*coe(3)-2coe(3)-64*coe(12)-32*coe(

14、12)一4*coe(12)一2*coe(12)-32*coe(4)一2*coe(4)-32*coe(11-2coe(11)+256市coe(5+16*coe(5)+8coe(5)+2中coe(5)+coe(5)+256米coe(10)+16*coe(10)+8*coe(10)+2*coe(10)+coe(10)+512coe(6)+256宗coe(6)+8米coe(6)+4*coe(6)+2*coe(6)+coe(6)+512索coe(9)+256噩coe(9)+8coe(9)+4东coe(9)+2*coe(9)+coe(9)+1024*coe(7)+128coe(7)+16*coe(7)十2

15、字coe(7)+coe(7)+1024*coe(8)+128*coe(8)+16*coe(8)+2*coe(8)+coe(8);FOR1IN15IXJWNrO1LOOPwc(I)<=coc(I-1);ENDLOOP;coe(0)<=x:ENDPROCESS:ENDBHV;上述VHDL程序是对图1中直接型FIR滤波器结构的描述,该设计是对称的,但对非对称滤波器也同样适用。3.4 仿真分析图5是对应输入为50时的滤波器脉冲响应y的仿真波形图,注意仿真结果是以无符号数来表示负数的4。下面通过仿真波形图验证y(n)=h(n)x的正确性。由图5知,y(0)=450,而y(0)=h(0)x=9X50=450,两结

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论