MOOC 数字电子技术基础-华中科技大学 中国大学慕课答案_第1页
MOOC 数字电子技术基础-华中科技大学 中国大学慕课答案_第2页
MOOC 数字电子技术基础-华中科技大学 中国大学慕课答案_第3页
MOOC 数字电子技术基础-华中科技大学 中国大学慕课答案_第4页
MOOC 数字电子技术基础-华中科技大学 中国大学慕课答案_第5页
已阅读5页,还剩151页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

MOOC数字电子技术基础-华中科技大学中国大学慕课答案第1章数字逻辑概论测验题1、问题:将二进制数(101101.11)B转换成十进制数是选项:A、45.3B、45.75C、46.75D、48.75正确答案:【45.75】2、问题:将二进制数(101001101100)B转换成十六进制数是选项:A、A6BB、A6CC、A6DD、E3B正确答案:【A6C】3、问题:将二进制数(101.101)B转换成八进制数是选项:A、5.5B、5.625C、5.25D、5.75正确答案:【5.5】4、问题:将十六进制数(36.D)H转换成十进制数是选项:A、36.13B、54.13C、36.8125D、54.8125正确答案:【54.8125】5、问题:十进制数–10的8位带符号二进制数的原码及补码表示分别是选项:A、11110101,11110110B、10001010,11110110C、10001010,11110101D、10000101,11110110正确答案:【10001010,11110110】6、问题:带符号二进制补码01011001和11010011所表示的十进制数分别为选项:A、89,–90B、39,–90C、89,–45D、39,–45正确答案:【89,–45】7、问题:用8位二进制补码计算12+21所得结果为选项:A、01011111B、00010111C、00100001D、10101100正确答案:【00100001】8、问题:用8位二进制补码计算–121–29时,所得结果产生溢出,若出现溢出,解决办法是只有进行位扩展。选项:A、不会B、会C、不确定D、可能不会正确答案:【会】9、问题:十进制数8的5421BCD码表示为。选项:A、1000B、1110C、1011D、1010正确答案:【1011】10、问题:字符Y的ASCII码的十六进制数表示为选项:A、4DB、59C、4FD、79正确答案:【59】11、问题:将十六进制数(4E.C)H转换成二进制数是。选项:A、01001110.0110B、01001110.11C、11100100.11D、01001110.0011正确答案:【01001110.11】12、问题:8位无符号二进制数(11111111)B所对应的十进制数是。选项:A、127B、256C、255D、-1正确答案:【255】13、问题:8位二进制补码(11111111)B所对应的十进制数真实值是。选项:A、127B、256C、255D、-1正确答案:【-1】14、问题:8位无符号二进制数可以表示的最大十进制数为256。对吗?选项:A、正确B、错误正确答案:【错误】15、问题:对于一个带符号的二进制数,其最高位表示符号位,其余部分表示数值位,所以一个用补码表示的4位带符号二进制数1001表示的是十进制数–1。对吗?选项:A、正确B、错误正确答案:【错误】16、问题:二进制码1010转换成格雷码为1111。对吗?选项:A、正确B、错误正确答案:【正确】17、问题:二进制代码中8421BCD码、格雷码等都是有权码,而余3码、余3循环码等都是无权码。对吗?选项:A、正确B、错误正确答案:【错误】18、问题:当关注各信号之间的逻辑关系而不用考虑数字电路的翻转特性时,可将数字波形画成理想的波形。选项:A、正确B、错误正确答案:【正确】19、问题:选项:A、正确B、错误正确答案:【错误】20、问题:将十进制数转换为二进制数,整数部分和小数部分需要分开进行。整数部分的转换方法是连续除以2直到商为0,每一步的余数作为二进制数的一位数字,最先获得的余数是二进制数的最低位,最后获得的是其最高位;小数部分的转换方法是连续乘以2直到满足误差要求,每一步取乘积的整数部分作为二进制数的一位数字,同样地,最先获得的整数部分是二进制数的最低位,最后获得的是其最高位。此说法对吗?选项:A、正确B、错误正确答案:【错误】21、问题:无符号二进制数1001和0011的差等于0110,对吗?选项:A、正确B、错误正确答案:【正确】22、问题:无符号二进制数1001和0101的乘积等于(101101)B,对吗?选项:A、正确B、错误正确答案:【正确】23、问题:十进制数–25的8位二进制补码表示为(11100111)B,对吗?选项:A、正确B、错误正确答案:【正确】24、问题:8位二进制补码所表示的数值范围为–256~+255,对吗?选项:A、正确B、错误正确答案:【错误】25、问题:格雷码10110转换为二进制码后是11011,对吗?选项:A、正确B、错误正确答案:【正确】26、问题:字符S的ASCII码值(1010011)在最高位设置奇校验位后,它的二进制表示为11010011,对吗?选项:A、正确B、错误正确答案:【正确】27、问题:将一个八进制数写成(803.64),对吗?选项:A、正确B、错误正确答案:【错误】第2章逻辑代数测验题1、问题:以下表达式中符合逻辑运算法则的是。选项:A、B、C、D、A+1=1正确答案:【A+1=1】2、问题:逻辑表达式A+BC=。选项:A、A+BB、A+CC、(A+B)(A+C)D、B+C正确答案:【(A+B)(A+C)】3、问题:的反函数是选项:A、B、C、D、正确答案:【】4、问题:函数选项:A、的对偶式为。B、C、D、正确答案:【】5、问题:函数L=AB+B+BCD=。选项:A、1B、BC、A+BD、0正确答案:【B】6、问题:最小项的逻辑相邻项为。选项:A、ABCDB、C、D、正确答案:【】7、问题:标准与或式是由构成的逻辑表达式。选项:A、与项相或B、最小项相或C、最大项相与D、或项相与正确答案:【最小项相或】8、问题:当时,同一逻辑函数的两个最小项=。选项:A、0B、1C、D、正确答案:【0】9、问题:选项:A、0B、1C、nD、正确答案:【1】10、问题:设,为函数F的两个最大项,=。选项:A、0B、C、D、1正确答案:【1】11、问题:四个逻辑相邻的最小项合并,可以消去_________个因子;选项:A、1B、2C、3D、4正确答案:【2】12、问题:4变量逻辑函数的卡诺图中,有_________个方格与对应的方格相邻选项:A、1B、2C、3D、4正确答案:【4】13、问题:函数,,的卡诺图表示如下,他们之间的逻辑关系是_________。选项:A、B、C、D、正确答案:【】14、问题:若逻辑函数则F和G相与的结果为_________选项:A、B、1C、ABD、0正确答案:【】15、问题:若逻辑函数则F和G相或的结果为_________。选项:A、B、1C、ABD、0正确答案:【1】16、问题:选项:A、B、C、D、正确答案:【】17、问题:逻辑函数的结果为.选项:A、AB、BC、D、正确答案:【B】18、问题:求一个逻辑函数L的对偶式时,下列说法不正确的是.选项:A、把L中的“与”换成“或”,“或”换成“与”B、常数中的“1”换成“0”,“0”换成“1”C、保持原式中的运算顺序不变。D、原变量换成反变量,反变量换成原变量。正确答案:【原变量换成反变量,反变量换成原变量。】19、问题:将逻辑函数展开为最小项的标准形式,总共有_______个最小项。选项:A、5B、6C、7D、8正确答案:【7】20、问题:如果规定只能使用非门或者2输入与非门来实现L=AB+AC,则正确的逻辑图是.选项:A、B、C、D、正确答案:【】21、问题:如果规定只能使用非门和2输入与非门来实现,则正确的逻辑图是.选项:A、B、C、D、正确答案:【】22、问题:已知函数L(A,B,C,D)的卡诺图如图所示,则函数L的最简与-或表达式为。选项:A、B、C、D、正确答案:【】23、问题:已知函数L(A,B,C,D)的卡诺图如图所示,则函数L的最简与-或表达式为。选项:A、B、C、D、正确答案:【】24、问题:已知函数L(A,B,C,D)的卡诺图如图所示,则函数L的最简与-或表达式为。选项:A、B、C、D、正确答案:【】25、问题:下列等式成立的是。选项:A、AB+AC+BC=AB+BCB、(A+B)(A+C)=A+BCC、A+AB=AD、正确答案:【(A+B)(A+C)=A+BC#A+AB=A#】26、问题:已知A+B=A+C,则B=C。对吗?选项:A、正确B、错误正确答案:【错误】27、问题:已知AB=AC,则B=C。对吗?选项:A、正确B、错误正确答案:【错误】28、问题:n个变量的最小项是包含全部n个变量的乘积项,在乘积项中每个变量只能以原变量的形式出现一次.对吗?选项:A、正确B、错误正确答案:【错误】29、问题:用卡诺图化简一个逻辑函数,得到的最简与或式可能不是唯一的。对吗?选项:A、正确B、错误正确答案:【正确】30、问题:。对吗?选项:A、正确B、错误正确答案:【正确】第3章组合逻辑电路测验题1、问题:电路如图所示,输出端L的表达式为。选项:A、B、L=ABCC、D、正确答案:【】2、问题:由开关组成的逻辑电路如图所示,设开关A、B分别有如图所示为0”和“1”两个状态,则电灯F亮的逻辑式为。选项:A、B、C、D、正确答案:【】3、问题:分析下图所示电路,输出函数F的表达式为。选项:A、B、F=A+BC、D、F=AB正确答案:【】4、问题:下图中,A、B为某逻辑电路的输入波形,Y为输出波形,则该逻辑电路为。选项:A、或非门B、与非门C、与门D、同或门正确答案:【或非门】5、问题:已知二变量输入逻辑门的输入A、B和输出F的波形如图所示,则该逻辑电路为。选项:A、与非门B、异或门C、同或门D、无法判断正确答案:【无法判断】6、问题:一个十六路数据选择器,其地址输入(选择控制端输入)端有_______个。选项:A、16B、2C、4D、8正确答案:【4】7、问题:一个译码器若有100个译码输出端,则译码器地址输入端至少有_______个。选项:A、100B、6C、7D、8正确答案:【7】8、问题:下列电路中,属于组合逻辑电路的是__________。选项:A、计数器B、触发器C、寄存器D、译码器正确答案:【译码器】9、问题:用四选一数据选择器实现函数,应使。选项:A、B、C、D、正确答案:【】10、问题:组合逻辑电路中的竞争冒险是由______引起的。选项:A、门电路的延时B、触发器的延时C、最小项D、最大项正确答案:【门电路的延时】11、问题:如图所示电路中,Y(A,B,C)的最小项表达式是()选项:A、Y=m(0,1,2,3,4)B、Y=m(1,2,3,4)C、Y=m(5,6,7)D、Y=m(3,5,6,7)正确答案:【Y=m(5,6,7)】12、问题:一位8421BCD码译码器的数据输入线与译码输出线的组合是。选项:A、4:6B、1:10C、4:10D、2:4正确答案:【4:10】13、问题:设计一个对1000个符号进行二进制编码,则至少要位二进制数码。选项:A、3B、10C、11D、1000正确答案:【10】14、问题:设计一个裁判表决电路。裁判组由三个人组成:主裁判A、副裁判B和C。在判定一次比赛的结果时必须按照如下原则:只有当两个或两个以上裁判支持,并且其中有一个为主裁判时,比赛结果的裁决才有效。令A、B、C为1表示支持,为0表示反对。裁决Y为1表示有效,为0表示无效。下列表达式中能够实现该电路功能的是。选项:A、Y=ABCB、Y=A+B+CC、Y=A+BCD、Y=AB+AC正确答案:【Y=AB+AC】15、问题:当七段显示译码器的七个输出端状态为abcdefg=0011111时(高电平有效),译码器输入状态(8421BCD码)应为____________。选项:A、0011B、0110C、0101D、0100正确答案:【0110】16、问题:下列表达式中不存在竞争冒险的有。选项:A、B、C、D、正确答案:【】17、问题:函数,当变量的取值为。将不出现冒险现象。选项:A、B=C=1B、B=C=0C、A=1,C=0D、A=0,B=0正确答案:【B=C=0】18、问题:设计一个4输入的二进制码奇校验电路,需要个异或门。选项:A、2B、3C、4D、5正确答案:【3】19、问题:用3-8线译码器74HC138可以构成6-64线译码器,需要片74HC138。选项:A、7B、8C、9D、10正确答案:【9】20、问题:为了使74HC138正常工作,使能输入端、和的电平应是。选项:A、110B、100C、111D、011正确答案:【100】21、问题:多路数据分配器可以直接由来实现。选项:A、编码器B、译码器C、多路数据选择器D、多位加法器正确答案:【译码器】22、问题:用两片4位比较器74HC85串联接成8位数值比较器时,低位片中的、、所接的电平应为。选项:A、110B、100C、111D、001正确答案:【001】23、问题:如图所示电路中,Y的最小项表达式是选项:A、Y=m(0,1,2,3,4)B、Y=m(1,2,3,4,7,8,13,14)C、Y=m(1,2,4,5,6,7)D、Y=m(1,2,4,7,8,11,13,14)正确答案:【Y=m(1,2,4,7,8,11,13,14)】24、问题:逻辑函数L的卡诺图如图所示,以下关于L的最简与或表达式正确的是.选项:A、B、C、D、正确答案:【】25、问题:逻辑函数L的卡诺图如图所示,以下关于L的最简与或表达式正确的是.选项:A、B、C、D、正确答案:【】26、问题:逻辑函数L的卡诺图如图所示,以下关于L的最简或与表达式正确的是.选项:A、B、C、D、正确答案:【】27、问题:逻辑函数L的卡诺图如图所示,以下关于L的最简与或表达式正确的是.选项:A、B、C、D、正确答案:【】28、问题:下图是能够对两个一位二进制数的大小进行比较的电路,下述说法正确的是.选项:A、当AB时,L1=1,L2=0,L3=0.B、当AB时,L1=0,L2=0,L3=1.C、当A=B时,L1=0,L2=1,L3=0.D、当AB时,L1=0,L2=0,L3=1.E、当AB时,L1=1,L2=0,L3=0.正确答案:【当AB时,L1=1,L2=0,L3=0.#当A=B时,L1=0,L2=1,L3=0.#当AB时,L1=0,L2=0,L3=1.】29、问题:实现两个一位二进制数相加的电路叫全加器。对吗?选项:A、正确B、错误正确答案:【错误】30、问题:实现两个一位二进制数和来自低位的进位相加的电路叫全加器。对吗?选项:A、正确B、错误正确答案:【正确】31、问题:组合逻辑电路通常由逻辑门和触发器组合而成。对吗?选项:A、正确B、错误正确答案:【错误】32、问题:普通编码器的2个或2个以上的输入同时为有效信号时,输出将出现错误编码。对吗?选项:A、正确B、错误正确答案:【正确】33、问题:当2个或2个以上的输入同时为有效信号时,优先编码器将只对优先级别高的输入进行编码。对吗?选项:A、正确B、错误正确答案:【正确】34、问题:串行进位加法器的缺点是运算速度慢,优点是电路结构简单。超前进位加法器的优点是运算速度快,缺点是电路结构复杂。对吗?选项:A、正确B、错误正确答案:【正确】35、问题:当一个逻辑门的两个输入端的信号同时向相反方向变化,而变化的时间有差异的现象,称为竞争。由竞争而可能产生输出干扰毛刺的现象称为冒险。对吗?选项:A、正确B、错误正确答案:【正确】36、问题:常用的消除组合逻辑电路中竞争冒险的方法有三种:发现并消除可能出现的互补变量运算、增加选通控制信号和使用滤波电路。对吗?选项:A、正确B、错误正确答案:【正确】37、问题:二进制译码器的作用是将输入的代码译成特定的信号输出。对吗?选项:A、正确B、错误正确答案:【正确】第6章VerilogHDL测验题1、问题:阻塞性赋值运算符为()。选项:A、=B、=C、=D、==正确答案:【=】2、问题:非阻塞性赋值运算符为()。选项:A、=B、==C、=D、=正确答案:【=】3、问题:在verilogHDL中,下列语句哪个不是条件语句?()选项:A、if-elseB、caseC、casezD、repeat正确答案:【repeat】4、问题:在verilogHDL中,下列语句哪个不是循环语句?()选项:A、whileB、forC、casezD、repeat正确答案:【casez】5、问题:已知a=2’b10,b=3’b110,那么{a,b}=()选项:A、5’b11010B、4’b1000C、5’b10110D、3’b110正确答案:【5’b10110】6、问题:已知a=3'b101,b=5'b11001,那么{b,a}=()选项:A、5’b11110B、5’b11001C、8’b11001101D、8’b10111001正确答案:【8’b11001101】7、问题:已知a=4’b1010,b=4’b1100,那么ab=()选项:A、4’b1010B、4’b0110C、4’b1000D、1正确答案:【4’b1000】8、问题:已知a=4’b1010,b=4’b1100,那么(ab)=()选项:A、4’b1010B、1’b1C、4’b1000D、1’b0正确答案:【1’b0】9、问题:下列语句中,不属于并行语句的是()选项:A、过程语句B、assign语句C、元件例化语句D、case语句正确答案:【case语句】10、问题:下列VerilogHDL程序所描述的电路是()moduleMED(Q,DATA,CLK)inputDATA,CLK;outputQ;regQ;always@(posedgeCLK)beginQ=DATA;endendmodule选项:A、D触发器B、T触发器C、RAMD、寄存器正确答案:【D触发器】11、问题:如下VerilogHDL程序所描述的是一个触发器,对它的描述正确的是()moduleFF(Q,DATA,CLK)inputDATA,CLK;outputQ;regQ;always@(posedgeCLK)beginQ=DATA;endendmodule选项:A、该触发器对CLK信号的高电平敏感。B、该触发器对CLK信号的低电平敏感。C、该触发器对CLK信号的上升沿敏感。D、该触发器对CLK信号的下降沿敏感。正确答案:【该触发器对CLK信号的上升沿敏感。】12、问题:下列VerilogHDL程序所描述的是一个计数器,该计数器的模是()modulecount(CLK,OUT);inputCLK;outputreg[3:0]OUT;always@(negedgeCLK)beginif(OUT==4'd11)OUT=0;elseOUT=OUT+1;endendmodule选项:A、16B、11C、12D、3正确答案:【12】13、问题:在语句assignY=sel?0:1;中,当sel=0时,Y的值为()选项:A、0B、1C、zD、x正确答案:【1】14、问题:在连续赋值语句中被赋值的变量应该定义为哪种数据类型()选项:A、wireB、regC、timeD、以上均可正确答案:【wire】15、问题:在VerilogHDL中,下列标识符不正确的是()。选项:A、CountB、_2to1MUXC、INITIALD、Real?正确答案:【Real?】16、问题:随着EDA技术的不断完善与成熟,()设计方法更多的被应用于VerilogHDL设计当中。选项:A、电路图B、自底向上C、自顶向下D、以上均可正确答案:【自顶向下】17、问题:基于EDA技术的现代电子系统设计流程为:原理图/HDL文本输入→功能仿真→()→布局布线→()→编程下载→硬件测试。正确的是()。①功能仿真②时序仿真③逻辑综合④配置⑤分配管脚选项:A、①⑤B、③②C、⑤①D、④②正确答案:【③②】18、问题:对语句assignY=sel?A:B;进行逻辑综合,得到的硬件电路为()选项:A、编码器B、译码器C、数值比较器D、数据选择器正确答案:【数据选择器】19、问题:下列VerilogHDL程序所描述电路是()moduleTRI(EN,IN,OUT);inputIN,EN;outputOUT;assignOUT=EN?IN:1'bZ;endmodule选项:A、D触发器B、T触发器C、寄存器D、三态门正确答案:【三态门】20、问题:下列VerilogHDL程序所描述电路功能是()moduleDataflow(A,En,Y);input[2:0]A;//输入端口声明inputEn;//输入端口声明output[7:0]Y;//输出端口声明assignY[0]=~(En~A[2]~A[1]~A[0]);assignY[1]=~(En~A[2]~A[1]A[0]);assignY[2]=~(En~A[2]A[1]~A[0]);assignY[3]=~(En~A[2]A[1]A[0]);assignY[4]=~(EnA[2]~A[1]~A[0]);assignY[5]=~(EnA[2]~A[1]A[0]);assignY[6]=~(EnA[2]A[1]~A[0]);assignY[7]=~(EnA[2]A[1]A[0]);endmodule选项:A、8/3线编码器B、3/8线译码器C、加法器D、数据选择器正确答案:【3/8线译码器】21、问题:下列VerilogHDL程序所描述电路功能是()moduleShiftReg(Q,Din,CP,CLR_);inputDin;//SerialDatainputsinputCP,CLR_;//ClockandResetoutputreg[3:0]Q;//Registeroutputalways@(posedgeCPornegedgeCLR_)if(!CLR_)Q=4'b0000;elsebegin//ShiftrightQ[0]=Din;Q[3:1]=Q[2:0];endendmodule选项:A、移位寄存器B、并行寄存器C、计数器D、分频器正确答案:【移位寄存器】22、问题:有限状态机FSM分为组合和时序两种类型。选项:A、正确B、错误正确答案:【错误】23、问题:VerilogHDL程序模块是以module开始,以endmodule结尾的。选项:A、正确B、错误正确答案:【正确】24、问题:在模块中如果没有明确地说明输入、输出端口的数据类型,则其缺省值是位宽为1位的wire型变量。选项:A、正确B、错误正确答案:【正确】25、问题:在串行语句块中,阻塞赋值语句按照它们在块中排列的顺序依次执行,即前一条语句没有完成赋值之前,后面的语句不可能被执行。选项:A、正确B、错误正确答案:【正确】26、问题:相等运算符(==)与全等运算符(===)的用法一样,没有任何区别。选项:A、正确B、错误正确答案:【错误】27、问题:下面是用过程赋值语句为异或门和与门建模写的一段程序,该程序正确吗?moduleGate(X1,X2,Y,Overflow);//designblockinputX1,X2;outputY,Overflow;initialbegin#10Y=X1^X2;overflow=X1X2;end;endmodule;选项:A、正确B、错误正确答案:【错误】28、问题:下面是对两个8位二进制数的大小进行比较的程序,该程序正确吗?modulecomparator(AGTB,AEQB,ALTB,A,B);outputAGTB,AEQB,ALTB;input[7:0]A,B;alwaysif(AB)AGTB=1elseif((AB)ALTB=1elseAEQB;endmodule选项:A、正确B、错误正确答案:【错误】29、问题:下面是将输入的4位二进制数转换成为两个8421BCD码的程序,该程序正确吗?module_4bitBIN2bcd(Bin,BCD1,BCD0);input[3:0]Bin;outputreg[3:0]BCD1,BCD0;always@(Bin)begin{BCD1,BCD0}=8'h00;if(Bin10)beginBCD1=4'h0;BCD0=Bin;endelsebeginBCD1=4'h1;BCD0=Bin-4'd10;endendendmodule选项:A、正确B、错误正确答案:【正确】30、问题:下面是一个4位的双向移位寄存器程序,该程序正确吗?moduleUniversalShift(S1,S0,Din,Dsl,Dsr,Q,CP,CLR_);inputS1,S0;//SelectinputsinputDsl,Dsr;//SerialDatainputsinputCP,CLR_;//ClockandResetinput[3:0]Din;//ParallelDatainputoutput[3:0]Q;//Registeroutputreg[3:0]Q;always@(posedgeCPornegedgeCLR_)if(~CLR_)Q=4'b0000;elsecase({S1,S0})2'b00:Q=Q;//Nochange2'b01:Q={Dsr,Q[3:1]};//Shiftright2'b10:Q={Q[2:0],Dsl};//Shiftleft2'b11:Q=Din;//Parallelloadinputendcaseendmodule选项:A、正确B、错误正确答案:【正确】第4章?锁存器和触发器测验题1、问题:如下图所示电路构成的锁存器,以下哪组R,S输入信号将导致相应信号撤销后,电路进入不确定状态选项:A、0,0B、0,1C、1,0D、1,1正确答案:【1,1】2、问题:指出下图所示电路构成的锁存器为哪种类型的锁存器?选项:A、RS锁存器B、门控RS锁存器C、逻辑门控D锁存器D、传输门控D锁存器正确答案:【逻辑门控D锁存器】3、问题:下图是D锁存器定时图,在中,表示输入信号D建立时间的是,表示输入信号D保持时间的是。选项:A、B、C、D、正确答案:【】4、问题:以下关于锁存器和触发器描述正确的是选项:A、锁存器和触发器都是脉冲电平敏感器件B、锁存器和触发器都是脉冲边沿敏感器件C、锁存器是脉冲电平敏感器件,触发器是脉冲边沿敏感器件D、锁存器是脉冲边沿敏感器件,触发器是脉冲电平敏感器件正确答案:【锁存器是脉冲电平敏感器件,触发器是脉冲边沿敏感器件】5、问题:试指出下图所示电路对CP信号的敏感类型选项:A、高电平B、低电平C、上升沿D、下降沿正确答案:【上升沿】6、问题:已知某触发器的电路结构如下图所示,请指出该触发器属于以下哪种类型的触发器选项:A、主从触发器B、维持阻塞触发器C、利用传输延迟的触发器D、SR触发器正确答案:【维持阻塞触发器】7、问题:下图是D触发器的定时图,表示输入信号D建立时间的是,表示输入信号D保持时间的是。选项:A、、B、、C、、D、、正确答案:【、】8、问题:如图所示维持阻塞D触发器电路图中,红色字体标注的反馈线中哪条线为置1维持线。选项:A、AB、BC、CD、D正确答案:【A】9、问题:当输入端S和R为,由或非门构成的基本SR锁存器会出现不稳定状态。选项:A、S=1,R=0B、S=0,R=1C、S=1,R=1D、S=0,R=0正确答案:【S=1,R=1】10、问题:当输入端S和R为,由或非门构成的基本SR锁存器保持原状态不变。选项:A、S=1,R=0B、S=0,R=1C、S=1,R=1D、S=0,R=0正确答案:【S=0,R=0】11、问题:用或非门构成的基本SR锁存器,其特性方程中,约束条件为SR=0。这说明两个输入信号。选项:A、不能同时为0B、不能同时为1C、可以同时为1D、可以同时为0正确答案:【不能同时为1】12、问题:当输入端和为,由与非门构成的基本SR锁存器会出现不稳定状态。选项:A、=1,=0B、=0,=1C、=1,=1D、=0,=0正确答案:【=0,=0】13、问题:对于门控D锁存器来说,在条件下,输出端Q总是等于输入的数据D选项:A、使能脉冲之前B、使能脉冲期间C、使能脉冲之后的瞬间D、任何时候正确答案:【使能脉冲期间】14、问题:触发器有个稳定状态,它可以存储1位二进制码,存储8位二进制信息需要个触发器选项:A、1,2B、2,2C、2,4D、2,8正确答案:【2,8】15、问题:触发器被清零(复位)后,Q和端的状态分别为和。选项:A、0,0B、0,1C、1,0D、1,1正确答案:【0,1】16、问题:触发器的输出逻辑电平从1到0或从0到1的转换称为选项:A、置位B、清零C、翻转D、保持正确答案:【翻转】17、问题:触发器CP输入端的三角形符号指的是选项:A、低电平有效输入B、高电平有效输入C、边沿触发D、电平触发正确答案:【边沿触发】18、问题:下降沿触发的边沿JK触发器在CP下降沿到来之前J=1、K=0,而CP下降沿到来之后变为J=0、K=1,则触发器的状态为选项:A、0B、1C、状态不变D、状态不确定正确答案:【1】19、问题:假定锁存器的初始状态为0。对于下图所示的电路和输入波形,输出端Q的波形图为。选项:A、B、C、D、正确答案:【】20、问题:假设电路的初始状态为Q=1,对于下图所示的电路和输入波形,输出端Q和的波形图为。选项:A、B、C、D、正确答案:【】21、问题:在下图中,假设触发器的初态均为0,则Q的波形图为。选项:A、B、C、D、正确答案:【】22、问题:在下图中,假设触发器的初态为0,则Q的波形图为。选项:A、B、C、D、正确答案:【】23、问题:用CMOS电路74HCT02或非门构成消除机械开关抖动影响的电路及开关S由位置A到B时波形如图所示,试确定Q端的波形为。选项:A、B、C、D、正确答案:【】24、问题:在下图中,假设所有触发器的初态均为0,则在时钟脉冲CP的作用下,Q1、Q0的波形图为。选项:A、B、C、D、正确答案:【】25、问题:下图是D锁存器定时图,下列说法正确的是。选项:A、表示输入数据信号D的建立时间。B、表示输入数据信号D的保持时间。C、表示使能信号E脉冲宽度的最小值。D、表示输出信号对输入信号的响应延迟时间,即输出Q从低电平到高电平对信号D的延迟时间E、表示输出信号对输入信号的响应延迟时间,即输出Q从高电平到低电平对信号E的延迟时间。正确答案:【表示输入数据信号D的建立时间。#表示输入数据信号D的保持时间。#表示使能信号E脉冲宽度的最小值。#表示输出信号对输入信号的响应延迟时间,即输出Q从低电平到高电平对信号D的延迟时间#表示输出信号对输入信号的响应延迟时间,即输出Q从高电平到低电平对信号E的延迟时间。】26、问题:由D触发器构成JK触发器的电路是.选项:A、B、C、D、正确答案:【#】27、问题:在下图所示电路中,能完成T触发器逻辑功能的电路有.选项:A、B、C、D、正确答案:【##】28、问题:在图示电路中,能完成的逻辑功能的电路有.选项:A、B、C、D、正确答案:【##】29、问题:在图示电路中,能完成的逻辑功能的电路有.选项:A、B、C、D、正确答案:【#】30、问题:JK触发器在JK输入信号的作用下可以工作在4个状态——置1,置0,保持和翻转。选项:A、正确B、错误正确答案:【正确】31、问题:JK触发器当JK都为1时,下一个状态维持与现态一致。选项:A、正确B、错误正确答案:【错误】32、问题:T触发器的下一状态与T输入信号保持一致。选项:A、正确B、错误正确答案:【错误】33、问题:SR触发器输入信号的约束条件为S+R=0。选项:A、正确B、错误正确答案:【错误】34、问题:触发器的状态通常指输出端的状态。选项:A、正确B、错误正确答案:【错误】35、问题:由或非门构成的基本SR锁存器在S=1、R=0时,将使锁存器进入置位状态。选项:A、正确B、错误正确答案:【正确】36、问题:由与非门构成的基本SR锁存器在=1、=0时,将使锁存器进入置位状态。选项:A、正确B、错误正确答案:【错误】37、问题:下图所示D锁存器,只有当使能端E=1时,输入端D的值才会影响到Q的状态选项:A、正确B、错误正确答案:【正确】38、问题:JK触发器有使输出不确定的输入条件。选项:A、正确B、错误正确答案:【错误】39、问题:边沿JK触发器在输入J=K=1时,如果CP信号的频率为32kHz,则Q端输出脉冲的频率为16kHz。选项:A、正确B、错误正确答案:【正确】40、问题:对于有异步置位端的D触发器,当异步置位信号无效时,在CP信号的作用下,才能响应D端的输入。选项:A、正确B、错误正确答案:【正确】41、问题:触发器的传输延迟时间说明了输出端Q对于CP有效跳变沿响应时所需的时间。选项:A、正确B、错误正确答案:【正确】42、问题:所有触发器的建立时间都不为零。选项:A、正确B、错误正确答案:【正确】43、问题:触发器的保持时间是指在有效电平转换之前,数据必须保持不变的时间间隔。选项:A、正确B、错误正确答案:【错误】44、问题:锁存器和触发器都属于双稳态电路,它们存在两个稳定状态,从而可存储、记忆1位二进制数据。对吗?选项:A、正确B、错误正确答案:【正确】45、问题:虽然传输门控D锁存器和逻辑门控D锁存器的电路结构不同,但逻辑功能是完全相同的。对吗?选项:A、正确B、错误正确答案:【正确】46、问题:下图两个非门构成的电路就是一个最基本的的双稳态电路。在接通电源后,它可能随机地进入0状态或1状态,且能长期保持这一位二进制数据不变。但因为没有控制机构,所以无法在工作时改变和控制它的状态,从而不能作为存储电路使用。对吗?选项:A、正确B、错误正确答案:【正确】47、问题:触发器的电路结构与逻辑功能没有必然联系。同一种逻辑功能的触发器可以用不同的电路结构来实现;同一种电路结构的触发器可以实现不同的逻辑功能。对吗?选项:A、正确B、错误正确答案:【正确】48、问题:如果在时钟脉冲CP=1期间,由于干扰的原因,使触发器的数据输入信号经常有变化,此时不能选用TTL主从型结构的触发器,而应该选用边沿型或维持阻塞结构的触发器。对吗?选项:A、正确B、错误正确答案:【正确】第5章?时序逻辑电路测验题1、问题:一个8421BCD码计数器至少需要个触发器。选项:A、3B、4C、5D、10正确答案:【4】2、问题:五个D触发器构成基本环形计数器,其有效循环状态数为。选项:A、5B、10C、25D、32正确答案:【5】3、问题:三个D触发器构成模8的同步二进制加法计数器的初态为,经2016个时钟后,计数器状态为。选项:A、B、C、D、正确答案:【】4、问题:有一同步时序电路,由三个上升沿触发的D触发器构成,其控制输入,由输出,则此序列为。选项:A、1110010B、1100101C、1001011D、1100010E、1100011F、1001001正确答案:【1001011】5、问题:如图所示,异步计数器进入稳定状态之后,计数器能出现的最大数为。选项:A、B、C、D、】6、问题:某时序电路的状态转换图如图所示,若输入序列X=110101(从最左边的位依次输入)时,设起始状态为,则输出序列为。选项:A、101101B、111101C、11101D、10110正确答案:【101101】7、问题:某时序电路的状态图如图所示,该电路至少需要个控制输入端。选项:A、1B、2C、3D、4正确答案:【2】8、问题:已知一个序列101检测器,若该检测器的输入序列和输出序列如下:输入A:0101011010输出Z:0001000010则以下两个状态图中,是该检测器的状态图。(初始状态为选项:)A、B、C、D、正确答案:【】9、问题:用n个触发器构成计数器,可得到的最大计数容量(即计数模)为。选项:A、nB、2nC、D、正确答案:【】10、问题:如图所示的数字逻辑部件。其中各方框中均是用模N的计数器作N次分频器,则A处的频率是400kHz,B处的频率是40kHz,C处的频率是。选项:A、400HzB、400kHzC、500HzD、40kHzE、2500HzF、25kHz正确答案:【2500Hz】11、问题:一个四位二进制减法计数器的起始值为1001,经过100个时钟脉冲作用之后的值为。选项:A、1100B、0100C、1101D、0101正确答案:【0101】12、问题:某时序电路的输入为X,输出为Z,状态按表如下所示,则该电路的逻辑功能是。排序,其状态转换真值选项:A、模3可逆计数器B、模4加法计数器C、模4减法计数器D、模4可逆计数器正确答案:【模3可逆计数器】13、问题:有一双向移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上十进制数选项:A、1,则需将该移位寄存器中的数左移位。B、3C、2D、4E、8正确答案:【2】14、问题:有选项:,两个状态,条件可确定和不等价。A、输出相同B、输出不同C、状态相同D、状态不同正确答案:【输出不同】15、问题:如图所示同步时序电路的初始状态为00,以下三个选项中分别是和输出Z对应于的波形图,其中不正确。、选项:A、B、C、D、正确答案:【】16、问题:同步时序电路中触发器的数目为N,状态数为M,则两者的关系为。选项:A、B、C、D、正确答案:【】17、问题:某同步时序电路的状态图如下图所示,用D触发器设计时的最简激励方程组为,电路能否自启动。选项:A、B、C、D、正确答案:【】18、问题:状态图如图所示,电路的输入为A,输出为Y,试用两个上升沿触发的JK触发器设计该电路,要求电路使用的门电路最少。则各个触发器的激励方程及输出方程为。选项:A、B、C、D、正确答案:【】19、问题:一个模6计数器,其状态转换关系如下图,用T触发器设计时的最简激励方程组为,电路能否自启动。选项:A、B、C、D、正确答案:【】20、问题:时序电路如图所示,分析电路确定电路的有效循环状态数为,能否自启动。选项:A、6,能B、5,能C、6,不能D、5,不能正确答案:【6,能】21、问题:时序电路如图所示,分析电路确定电路的有效循环状态数为,能否自启动。选项:A、6,不能B、5,不能C、7,能D、6,能正确答案:【7,能】22、问题:状态图如图所示,电路的输入为A,输出为Y,试用下降沿触发的D触发器设计该电路,则各个触发器的激励方程及输出方程为。选项:A、B、C、D、正确答案:【】23、问题:已知可以重叠检测101序列检测器的输入序列、输出序列如下,其状态图为。输入A:010101101输出Z:000101001选项:A、B、C、D、正确答案:【】24、问题:已知不可以重叠检测101序列检测器的输入序列、输出序列如下,其状态图为。输入A:0101011010输出Z:0001000010选项:A、B、C、D、正确答案:【】25、问题:用触发器实现下图所示输出波形,每一个和的周期内,可以等分为段时间间隔相等的状态,需要电路有种状态来实现。选项:A、3,3B、4,2C、4,4D、3,4正确答案:【4,4】26、问题:在某计数器的输出端观察到如图所示的波形,该计数器的模为。选项:A、5B、6C、7D、8正确答案:【6】27、问题:电路如图所示,假设初始状态=000。由FF1和FF0构成的电路是进制计数器。这个电路为进制计数器。选项:A、3,5B、3,6C、4,6D、4,8正确答案:【3,6】28、问题:某时序电路的状态图如图所示,设电路的初始状态为00,当序列A=110010自左至右输入时,该电路输出Z的序列为。选项:A、011101B、101011C、101110D、101101正确答案:【101101】29、问题:已知时序电路的状态表如下表所示。如果电路的初始状态为a,输入信号A依次是1010111,试确定电路经历的状态,及输出序列。选项:A、abababdc,0101110B、abababdc,0101010C、ababebdc,0101010D、abcbabdc,0111010正确答案:【abababdc,0101010】30、问题:分析下图所示电路,判断启动信号过后,电路输出的状态依次为。选项:A、B、C、D、正确答案:【】31、问题:电路如图所示。输入依次为,则电路构成模7计数器。选项:A、0111B、1000C、1010D、1001正确答案:【1001】32、问题:电路如图所示。输入依次为,则电路构成模174计数器。选项:A、01010110B、01010000C、01010010D、01010011正确答案:【01010010】33、问题:由三个触发器构成的移位寄存器状态转换图如图所示,现要设计一个模3的移位型计数器,状态分配可能是。选项:A、B、C、D、正确答案:【#】34、问题:电路如图所示,经CP脉冲作用后,欲使,则A、B输入应为。选项:A、A=0,B=0B、A=0,B=1C、A=1,B=0D、A=1,B=1正确答案:【A=0,B=0#A=1,B=1】35、问题:图示电路。选项:A、是同步时序电路B、能产生0111序列C、可作模4计数器D、电路能自启动正确答案:【是同步时序电路#能产生0111序列#可作模4计数器#电路能自启动】36、问题:任何一个同步时序逻辑电路的结构和功能可以用下面的函数表达式完整地描述。选项:A、输入方程B、输出方程C、特性方程D、时钟方程E、激励方程F、状态转换方程正确答案:【输出方程#激励方程#状态转换方程】37、问题:下图所示电路是。选项:A、同步B、异步C、递增D、递减E、模10F、模16G、不能自启动H、能自启动正确答案:【异步#递增#模16#能自启动】38、问题:描述同步时序电路的方程组有激励方程组、转换方程组和输出方程组,而描述异步时序电路的方程组除了以上三类之外,还多了一类时钟信号方程组,不过异步时序电路的这三类方程组与同步时序电路的这三类方程组相同。这个说法正确吗?选项:A、正确B、错误正确答案:【错误】39、问题:用D锁存器不能构成移位寄存器,这个说法正确吗?选项:A、正确B、错误正确答案:【正确】40、问题:同步计数器和异步计数器比较,同步计数器的显著优点是工作速度快。这个说法正确吗?选项:A、正确B、错误正确答案:【正确】41、问题:在图(a)所示电路中,CP脉冲的频率为2kHz,则输出端Q的频率为4kHz;图(b)所示电路中,CP脉冲的频率为4kHz,则输出端Q的频率为4kHz。对吗?选项:A、正确B、错误正确答案:【错误】42、问题:某电视机水平–垂直扫描发生器需要一个分频器将31500Hz的脉冲转换为60Hz的脉冲,构成此分频器至少需要9个触发器。对吗?选项:A、正确B、错误正确答案:【错误】43、问题:根据最简二进制状态表确定输出函数表达式时,与所选触发器的类型无关。选项:A、正确B、错误正确答案:【正确】44、问题:时序电路的根本特征是它任意时刻的输出不仅取决于当时的输入,而且还取决于电路原来的状态。因此,除了时钟CP外,没有输入变量的电路不是时序电路。选项:A、正确B、错误正确答案:【错误】45、填空题:已知状态表如下表所示,输入为,其状态图为。正确答案:【A】46、填空题:试分析下图所示同步时序电路,其正确的状态图是。正确答案:【A】47、填空题:试分析下图所示同步时序电路,其状态图是。正确答案:【B】48、填空题:试分析下图所示同步时序电路,其状态图是。正确答案:【B】49、填空题:已知一个序列1101检测器,若该检测器的输入序列和输出序列如下:输入A:0110110110输出Y:0000100100则以下两个状态图中,是该检测器的状态图。(初始状态为S0)正确答案:【A】50、填空题:已知一个序列1101检测器,若该检测器的输入序列和输出序列如下:输入A:0110110110输出Y:0000100000则以下两个状态图中,是该检测器的状态图。(初始状态为)正确答案:【B】第7章逻辑门电路测验题1、问题:CMOS门电路如图所示,则输出端F对A、B的正逻辑表达式为。选项:A、B、C、D、正确答案:【】2、问题:图(a)所示CMOS电路,其逻辑功能可用以下中的逻辑符号表示?选项:A、B、C、D、正确答案:【】3、问题:CMOS反相器的负载电容,功耗电容,电源电压,输入矩形波的频率为1MHz,则反相器的动态功耗为。选项:A、1.3WB、1.09WC、1.09mWD、1.3mW正确答案:【1.3mW】4、问题:基本的BiCMOS反相器电路的输出采用了两个双极型BJT管构成。选项:A、互补式输出级B、推拉式输出级C、D、正确答案:【推拉式输出级】5、问题:已知74LS04的参数为:74ALS04的参数为:相器和4个74LS04反相器吗?选项:则用一个74LS04反相器驱动两个74ALS04反A、能B、不能正确答案:【能】6、问题:电路如下图所示,输出L与输入A、B和C的逻辑表达式为。选项:A、B、C、D、正确答案:【】7、问题:由OD异或门和OD与非门构成的电路如图所示,已知输出低电平时的最大输出电流,输出高电平时的漏电流,则上拉电阻的最小值为。选项:A、0.15kΩB、1.2kΩC、60kΩD、930kΩ正确答案:【1.2kΩ】8、问题:由OD异或门和OD与非门构成的电路如图所示,则输出与输入的逻辑关系式为。选项:A、B、C、D、正确答案:【】9、问题:相比TTL器件,以下是一些关于CMOS逻辑门的说法,不正确的是。选项:A、CMOS器件的噪声容限较小B、CMOS器件的静态功耗较低C、CMOS逻辑门通常会接输入、输出保护电路D、普通CMOS逻辑门不能实现线与正确答案:【CMOS器件的噪声容限较小】10、问题:下图所示电路实现的逻辑功能为。选项:A、与非门B、或非门C、与门D、或门正确答案:【或非门】11、问题:某逻辑门输入端A、B和输出端L的波形如图所示,则L与A、B之间的逻辑关系是。选项:A、与非B、或C、异或D、同或正确答案:【同或】12、问题:CMOS门电路的特点:静态功耗;而动态功耗随着工作频率的提高而;输入电阻;抗干扰能力比TTL。选项:A、很大;增加;很大;低B、极低;增加;很大;高C、极低;减小;很大;高D、极低;增加;很大;低正确答案:【极低;增加;很大;高】13、问题:74LVC系列CMOS与非门在+3.3V电源工作时,输入端在以下哪些接法下属于逻辑0(74LVC系列输出和输入低电平的标准电压值为)?选项:A、输入端接地B、输入端接低于0.8V的电源C、输入端接同类与非门的输出低电平0.2VD、输入端到地之间接10k?的电阻正确答案:【输入端接地#输入端接低于0.8V的电源#输入端接同类与非门的输出低电平0.2V#输入端到地之间接10k?的电阻】14、问题:下列哪些CMOS门可以将输出端并接使用?选项:A、普通的互补输出B、漏极开路(OD)输出C、三态(TS)输出D、与非门正确答案:【漏极开路(OD)输出#三态(TS)输出】15、问题:下图各个CMOS电路中,VIL、VIH分别为输入低、高电平。指出输出高电平的电路有。选项:A、B、C、D、正确答案:【#】16、问题:指出下图所示电路中,能正常工作的有。选项:A、B、C、D、E、正确答案:【##】17、问题:门的输入端个数称为门的扇入数。门电路正常工作情况下,带同类门电路的最大数量称为门的扇出数。对吗?选项:A、正确B、错误正确答案:【正确】18、问题:类NMOS或非门的工作管是串联的,当输入全为高电平时,各管的导通电阻串联,使低电平输出电压升高,以致破坏正常逻辑功能;而类NMOS与非门的工作管是并联的,增加NMOS管的数目不会影响低电平输出电压的稳定,因而类NMOS电路多以与非门作为基本门电路。对吗?选项:A、正确B、错误正确答案:【错误】19、问题:噪声容限表示门电路的抗干扰能力。电路的噪声容限愈大,其抗干扰能力愈强。对吗?选项:A、正确B、错误正确答案:【正确】20、问题:传输延迟时间是表征门电路开关速度的参数,它说明门电路在输入脉冲波形的作用下,其输出波形相对于输入波形延迟了多长时间,其数值与电源电压VDD及负载电容的大小有关。选项:A、正确B、错误正确答案:【正确】21、问题:功耗是门电路重要参数之一。功耗有静态和动态之分。所谓静态功耗是指电路输出没有状态转换时的功耗。而电路在输出发生状态转换时的功耗称为动态功耗。对吗?选项:A、正确B、错误正确答案:【正确】22、问题:CMOS电路的动态功耗主要由两部分组成。一部分是电路输出状态转换瞬间MOS管的导通功耗。另一部分是因为CMOS管的负载通常是电容性的,当输出由高电平到低电平,或者由低电平到高电平转换时,会对电容进行充、放电,这个过程将增加电路的损耗。对吗?选项:A、正确B、错误正确答案:【正确】23、问题:CMOS电路的动态功耗正比于转换频率和电源电压的平方。当工作频率增加时,CMOS门的动态功耗会线性增加。当电源电压增加时,电路的功耗也会增加。选项:A、正确B、错误正确答案:【正确】24、问题:计算CMOS逻辑门的扇出数时,只使用静态的输入电流和输出电流计算。选项:A、正确B、错误正确答案:【错误】25、问题:MOS数字集成电路的发展经历了由PMOS、NMOS到CMOS的过程,其中PMOS电路问世最早。PMOS管是以空穴为导电载流子,而NMOS管以电子为导电载流子,由于空穴的迁移率比电子低,因此,NMOS电路的工作速比PMOS电路快,而且PMOS使用负电源,与TTL电路不匹配,所以PMOS集成电路被NMOS电路取代。后来发展的CMOS电路有静态功耗低、抗干扰能力强等诸多优点而成为主流器件。对吗?选项:A、正确B、错误正确答案:【正确】26、问题:在一个系统中,对于引脚封装相同的集成电路74LS00与74HC00可以互换使用。对吗?选项:A、正确B、错误正确答案:【错误】27、问题:集成逻辑门电路在使用时,一般不让多余的输入端悬空,以防引入干扰信号。对多余输入端的处理以不改变电路工作状态及稳定可靠为原则。对吗?选项:A、正确B、错误正确答案:【正确】28、问题:MOS型集成逻辑门有CMOS、NMOS、PMOS,双极型集成逻辑门主要有TTL和ECL,混合型集成逻辑门有BiCMOS。对吗?选项:A、正确B、错误正确答案:【正确】29、问题:按照制造门电路晶体管的不同,集成门电路分为MOS型、双极型和混合型。对吗?选项:A、正确B、错误正确答案:【正确】第8章半导体存储器测验题1、问题:ROM由存储阵列、地址译码器和组成。选项:A、输出控制电路B、只读存储器C、输入/输出控制电路D、触发器正确答案:【输出控制电路】2、问题:一个存储矩阵有64行、64列,则存储阵列的存储容量为个存储单元。选项:A、1024B、2048C、4KD、4M正确答案:【4K】3、问题:以下哪种ROM的擦除过程就是数据写入过程?选项:A、PROMB、EPROMC、D、FlashMemory正确答案:【】4、问题:利用ROM实现四位二进制码到四位格雷码的转换,则该ROM的数据线有4根,地址线有根。选项:A、2B、4C、8D、10正确答案:【4】5、问题:用ROM实现两个3位二进制数相乘的乘法器时,所需的容量为。选项:A、B、C、D、正确答案:【】6、问题:利用ROM实现两个4位二进制数相乘的功能,则该ROM的地址线有根。选项:A、4B、8C、10D、16正确答案:【8】7、问题:利用ROM实现两个4位二进制数相乘的功能,则该ROM的数据线有根。选项:A、4B、8C、10D、16正确答案:【8】8、问题:同步SRAM的丛发读写操作模式指的是,根据外部给定的读写存储单元的首地址,在作用下,SSRAM可以连续读写接下来的若干个地址单元。选项:A、丛发使能信号B、内部地址计数器C、读/写控制信号D、时钟脉冲信号正确答案:【时钟脉冲信号】9、问题:将256×1位ROM扩展为1024×1位ROM,地址线为根。选项:A、8B、12C、10D、7正确答案:【10】10、问题:如下图所示的RAM芯片组成的存储器,存储器的总容量是。选项:A、32×4B、32×8C、64×4D、64×8正确答案:【32×8】11、问题:用PLA实现组合逻辑时应将函数;而用ROM实现组合逻辑时不对函数作任何化简。选项:A、列出真值表B、写成最小项之和C、进行化简D、写成最大项之和正确答案:【进行化简】12、问题:PROM实现的组合逻辑函数如下图所示,则当XYZ等于000、001、011和101时,;当XYZ等于011、110、111和时,。选项:A、000B、100C、010D、101正确答案:【101】13、问题:将256×1位ROM扩展为1024×8位ROM,共需片256×1位ROM。选项:A、32B、10C、16D、64正确答案:【32】14、问题:在下图所示的LED点阵列字符动态显示电路中,若人的视觉暂留时间为0.05s,在满足LED阵列图像稳定不闪烁的情况下,CP脉冲的最低工作频率为。选项:A、640HzB、100HzC、500HzD、1kHz正确答案:【640Hz】15、问题:在下图所示的LED点阵列字符动态显示电路中,若将LED阵列改为16行×128列,则需要RAM的位数为。选项:A、4B、7C、16D、128正确答案:【16】16、问题:半导体存储器是数字系统的重要组成部分,它可分为ROM和RAM两大类,属于MOS工艺制成的超大规模集成电路。选项:A、正确B、错误正确答案:【错误】17、问题:同步RAM与异步RAM的主要差别在于前者的读写操作是在时钟脉冲节拍控制下完成的,同步RAM的读写速度低于异步RAM。选项:A、正确B、错误正确答案:【错误】18、问题:DRAM中存储的数据如果不进行周期性的刷新,其数据将会丢失;而SRAM中存储的数据无需刷新,只要电源不断电就可以永久保存。选项:A、正确B、错误正确答案:【正确】19、问题:一个16K×4的存储系统的起始地址为全0,其最高地址的十六进制地址码为3FFFH。选项:A、正确B、错误正确答案:【正确】20、问题:用ROM可以实现各种组合逻辑函数。在设计实现时,只需列出真值表,逻辑函数的输入作为存储内容,输出作为地址,将内容按地址写入ROM即可。选项:A、正确B、错误正确答案:【错误】第9章可编程逻辑器件测验题1、问题:在PLD器件的结构图中,在阵列的横线与竖线的交叉点上画“x”,表示横线与竖线是。选项:A、断开的B、编程连通的C、悬空的D、固定连通的正确答案:【编程连通的】2、问题:PLA是指。选项:A、可编程逻辑阵列B、通用逻辑阵列C、只读存储器D、随机读取存储器正确答案:【可编程逻辑阵列】3、问题:FPGA是指。选项:A、可编程逻辑阵列B、现场可编程门阵列C、只读存储器D、随机读取存储器正确答案:【现场可编程门阵列】4、问题:PAL具有固定连接的阵列和可编程的阵列。选项:A、与,或B、或,与C、与,与D、或,或正确答案:【或,与】5、问题:GAL的与阵列,或阵列。选项:A、固定,可编程B、可编程,固定C、可编程,可编程D、固定,固定正确答案:【可编程,固定】6、问题:若某CPLD中的逻辑块有36个输入(不含全局时钟、全局使能控制等),16个宏单元。理论上,该逻辑块可以实现个逻辑函数,每个逻辑函数最多可有个变量。选项:A、36,16B、20,36C、20,16D、16,36正确答案:【16,36】7、问题:以下可编程逻辑器件中,集成密度最高的是。选项:A、PALB、GALC、CPLDD、FPGA正确答案:【FPGA】8、问题:在系统可编程是指:对位于的可编程逻辑器件进行编程。选项:A、用户电路板B、特制的电路板C、编程器D、专用编程器正确答案:【用户电路板】9、问题:FPGA是一种可编程的大规模集成电路。选项:A、正确B、错误正确答案:【正确】10、问题:CPLD和FPGA实现逻辑函数的原理是相同的。选项:A、正确B、错误正确答案:【错误】11、问题:现在的可编程逻辑器件都是基于选项:技术制造的。A、正确B、错误正确答案:【错误】12、问题:GAL器件是用电可擦除工艺制造的,具有CMOS的低功耗特性。选项:A、正确B、错误正确答案:【正确】13、问题:GAL器件具有输出逻辑宏单元,使用户能够按需要对输出进行组态。选项:A、正确B、错误正确答案:【正确】14、问题:CPLD器件主要由可编程的逻辑块、输入/输出块和可编程的内部互连线资源三部分组成。选项:A、正确B、错误正确答案:【正确】15、问题:PROM和PAL一样,都是与阵列可编程,或阵列固定。选项:A、正确B、错误正确答案:【错误】16、问题:CPLD与FPGA所采用的编程技术不同,CPLD是基于SRAM的编程技术,而FPGA则是基于选项:或快闪存储器的编程技术。A、正确B、错误正确答案:【错误】17、填空题:一旦断电,就会丢失所有的逻辑功能的高密度可编程逻辑器件是()。(填大写英文字母)正确答案:【FPGA##%_YZPRLFH_%##fpga】第10章脉冲波形的产生与变换测验题1、问题:或非门构成的单稳态触发器电路如下,该单稳态触发器的稳态是。选项:A、0B、1C、不确定D、1或0正确答案:【0】2、问题:或非门构成的单稳态触发器电路如下,该单稳态触发器的触发信号是。选项:A、正脉冲B、负脉冲C、高电平D、低电平正确答案:【正脉冲】3、问题:或非门构成的单稳态触发器电路如下,该单稳态触发器的暂稳态维持时间为。选项:A、0.7RCB、0.7C、1.4D、1.4RC正确答案:【0.7RC】4、问题:集成单稳态触发器74LS121电路结构如下图,该触发器的输出端稳态为。选项:A、0B、1C、不确定D、1或0正确答案:【1】5、问题:集成单稳态触发器74LS121电路结构如下图,该触发器的输入端中个为正脉冲触发信号。选项:A、BB、C、D、都可以正确答案:【B】6、问题:某单稳态触发器延时电路如下图所示,该电路输出信号的上升沿相对于的上升沿的延时时间约为。选项:A、B、C、D、正确答案:【】7、问题:一单稳态触发器消除噪声电路如下图,要求该单稳态触发器的暂稳态时间。选项:A、大于噪声脉宽,且小于信号脉宽B、仅大于噪声信号脉宽C、仅小于信号脉宽D、小于噪声脉宽,且大于信号脉宽正确答案:【大于噪声脉宽,且小于信号脉宽】8、问题:已知一施密特触发器电路结构如下,该施密特触发器的正向阈值电压计算式为。选项:A、B、C、D、正确答案:【】9、问题:一多谐振荡器电路如下,其振荡周期约为。选项:A、1.4RCB、0.7RCC、D、正确答案:【1.4RC】10、问题:由施密特触发器构成的多谐振荡器,其振荡周期与因素相关选项:A、与RC、正向阈值电压、负向阈值电压以及电源电压相关B、仅与RC相关C、仅与阈值电压相关D、与RC以及正向阈值电压、负向阈值电压相关正确答案:【与RC、正向阈值电压、负向阈值电压以及电源电压相关】11、问题:或非门构成的单稳态触发器电路如下,该单稳态触发器是可重复触发单稳态触发器。选项:A、正确B、错误正确答案:【错误】12、问题:74LS121是可重复触发单稳态触发器。对吗?选项:A、正确B、错误正确答案:【错误】13、问题:施密特触发器的正向阈值电压一定大于负向阈值电压。对吗?选项:A、正确B、错误正确答案:【正确】14、问题:利用施密特触发器对信号进行整形时,将保持源信号的周期不变。对吗?选项:A、正确B、错误正确答案:【正确】第11章A/D与D/A测验题1、问题:一个n位D/A转换器的分辨率可以表示为。选项:A、n-1B、nC、1/nD、正确答案:【n】2、问题:一个4位倒T型电阻网络D/A转换器中,电阻网络的电阻取值有________种。选项:A、1B、2C、4D、8正确答案:【2】3、问题:一个4位权电阻网络D/A转换器,最低位对应的电阻值为40千欧,则最高位对应的阻值为千欧。选项:A、4B、5C、10D、20正确答案:【5】4、问题:下面几种A/D转换器中,工作速度最高的是。选项:A、并行比较型ADCB、逐次比较型ADCC、双积分型ADCD、间接型ADC正确答案:【并行比较型ADC】5、问题:为了保证取样所得到的信号uO(t)能够保留原输入信号uI(t)所包含的全部信息,即能够从信号uO(t)中将原先被取样信号恢复出来,取样频率fs和输入模拟信号的最高频率fimax之间的关系是。选项:A、fs≥fimaxB、fs£fimaxC、fs£2fimaxD、fs≥2fimax正确答案:【fs≥2fimax】6、问题:将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为。选项:A、取样B、保持C、量化D、编码正确答案:【取样】7、问题:选项:A、001B、101C、110D、111正确答案:【110】8、问题:倒T形电阻网络D/A转换器的转换精度优于权电流型D/A转换器。对吗?选项:A、正确B、错误正确答案:【错误】9、问题:D/A转换器的分辨率既可以用输入数字量的位数n来表示,也有可以用最小输出电压与最大输出电压的比值来表示。对吗?选项:A、正确B、错误正确答案:【正确】10、问题:D/A转换过程中的非线性误差是可以消除的。对吗?选项:A、正确B、错误正确答案:【错误】11、问题:D/A转换器的转换速度通常可以用建立时间和转换速率这两个参数来描述。对吗?选项:A、正确B、错误正确答案:【正确】12、问题:所有A/D转换器中的量化方法都是一样的。对吗?选项:A、正确B、错误正确答案:【错误】13、问题:在A/D转换过程中,必然会出现量化误差。对吗?选项:A、正确B、错误正确答案:【正确】《数字电子技术基础》期末考试试题1、问题:十进制数38.47的8421BCD码表示为。选项:A、(00111000.01000111)BCDB、(111000.1000111)BCDC、(100110.011110)BCDD、(011001.011110)BCD正确答案:【(00111000.01000111)BCD】2、问题:8位二进制补码所表示的数值范围为。选项:A、–128~+128B、-127~+127C、–128~+127D、–127~+128正确答案:【–

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论