服务器硬件异构加速_第1页
服务器硬件异构加速_第2页
服务器硬件异构加速_第3页
服务器硬件异构加速_第4页
服务器硬件异构加速_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

19/25服务器硬件异构加速第一部分异构加速简介 2第二部分CPU与GPU架构异同 4第三部分FPGA灵活性和可重构性 6第四部分ASIC专用性和能效 8第五部分混合架构系统设计 10第六部分软件编程模型整合 14第七部分加速方案性能评测 17第八部分异构加速应用场景展望 19

第一部分异构加速简介关键词关键要点异构加速简介

主题名称:异构计算概述

1.异构计算是一种计算范式,利用不同架构和特性的处理元素(如CPU、GPU、FPGA),协同解决复杂计算问题。

2.异构加速通过充分利用专有硬件的独特功能,提升计算效率并优化性能。

3.异构系统架构优化和协同调度至关重要,以确保不同处理元素的无缝协作。

主题名称:异构加速的好处

异构加速简介

概念

异构加速是一种计算范例,它利用多种处理器类型协同工作,以提高特定工作负载的效率和性能。异构加速系统结合了中央处理器(CPU)、图形处理器(GPU)、现场可编程门阵列(FPGA)或其他专用集成电路(ASIC),以充分利用每种处理器的独特优势。

工作原理

异构加速系统通过将计算任务分解为适合不同处理器类型的子任务来实现加速。CPU通常用于处理串行指令,而GPU、FPGA和ASIC擅长处理并行指令和加速特定任务,如图像处理、机器学习和科学计算。这些处理器通过共享内存或通信接口连接起来,实现协作。

分类

异构加速系统可以根据其处理器类型的组合进行分类:

*CPU+GPU:这是最常见的异构加速配置,将CPU用于一般处理,GPU用于图形加速。

*CPU+FPGA:这种配置利用FPGA的并行处理能力来加速特定的任务,例如网络包处理。

*CPU+ASIC:ASIC是针对特定任务定制的专用芯片,可提供极高的性能和能效。

*GPU+FPGA:这种组合利用GPU的图形处理能力和FPGA的并行处理能力,适合处理涉及复杂图形和并行计算的工作负载。

优点

异构加速提供以下优势:

*提高性能:通过利用不同处理器的互补优势,异构加速系统可以显著提高特定工作负载的性能,例如:

*GPU在图形渲染和人工智能应用中提供更快的处理速度。

*FPGA在网络处理和数据分析中提供低延迟和高吞吐量。

*ASIC在加密和压缩等任务中提供极高的能效。

*提高效率:异构加速系统可以优化任务分配,减少瓶颈并最大化效率。

*降低成本:与购买单独的高性能处理器相比,异构加速系统可以提供类似的性能,但成本更低。

*灵活性:异构加速系统可以通过添加或移除处理器来轻松适应不断变化的工作负载和要求。

应用领域

异构加速已被广泛应用于以下领域:

*图形渲染

*数据中心加速

*科学计算

*高性能计算

*图像处理

*机器学习

*网络和电信第二部分CPU与GPU架构异同关键词关键要点【CPU与GPU架构异同】

1.指令集架构

*CPU:基于冯诺依曼架构,顺序执行指令,采用复杂指令集(CISC)。

*GPU:基于单指令流多数据流(SIMD)架构,同时执行大量简单指令,采用精简指令集(RISC)。

2.计算能力

CPU与GPU架构异同

核心架构

*CPU:基于通用型RISC/CISC架构,采用顺序执行和流水线技术,擅长处理单线程任务。

*GPU:基于SIMD(单指令多数据)架构,采用数千个并行计算核心,擅长处理大量数据并行的任务。

运算单元

*CPU:以整数运算器和浮点运算器为主,适合处理复杂指令和逻辑操作。

*GPU:以流式多处理器(SM)为主,集成了大量浮点计算单元,适合大量SIMD并行计算。

指令集

*CPU:ISA(指令集架构)庞大复杂,支持多种指令类型。

*GPU:ISA简单且特定,专注于并行计算指令。

存储架构

*CPU:具有私有高速缓存,与系统内存通过总线连接。

*GPU:具有片上共享内存和纹理内存,与全局内存通过高速互连连接。

功耗和性能

*CPU:性能受核心频率和指令集限制,功耗较高。

*GPU:性能受并行度和计算能力限制,功耗比CPU低。

适用场景

*CPU:擅长单线程任务、科学计算、数据库处理等。

*GPU:擅长图形渲染、视频编解码、人工智能计算等。

具体比较

|特征|CPU|GPU|

||||

|架构|通用|SIMD|

|核心数|数十|数千|

|指令集|复杂|简单|

|存储|分级缓存|片上共享内存|

|功耗|较高|较低|

|性能|受频率和指令集限制|受并行度和计算能力限制|

|适用场景|单线程任务、科学计算|图形渲染、视频编解码、人工智能计算|

优势互补

CPU和GPU具有不同的优势,在异构计算中可以互补。

*CPU擅长处理复杂逻辑和单线程任务。

*GPU擅长处理大量数据并行的任务。

通过将CPU和GPU协同使用,可以在提升系统整体性能、降低功耗和成本方面发挥优势。第三部分FPGA灵活性和可重构性关键词关键要点【FPGA灵活性和可重构性】

1.FPGA具有可编程逻辑阵列,允许用户根据特定应用需求自定义硬件架构,实现灵活的计算能力。

2.FPGA的可重构性使其能够在无需更换硬件的情况下快速适应算法和协议的变化,从而缩短开发时间并提高投资回报率。

3.通过FPGA加速计算,可以显著提高性能和能效,释放服务器的潜力,满足不断增长的计算需求。

【FPGA应用场景】

FPGA灵活性和可重构性

FPGA(现场可编程门阵列)是一种数字集成电路,其结构和功能可以通过编程重新配置。这种灵活性和可重构性为其在异构加速系统中的应用提供了显著优势。

结构灵活性

FPGA由可配置逻辑块(CLB)和互连阵列组成。CLB包含查找表(LUT)、触发器和可编程布线。通过编程这些组件,FPGA可以实现各种逻辑函数和算法。

FPGA的结构灵活性使其能够根据需要定制硬件。对于异构加速系统,这允许FPGA优化以满足特定应用程序的要求。例如,FPGA可以配置为执行数据预处理、神经网络推理或视频编码等特定任务。

功能可重构性

FPGA的另一个关键优势是其可重构性。与ASIC(专用集成电路)不同,FPGA的功能可以通过重新编程动态更改。这使得FPGA能够适应不断变化的应用程序需求和算法更新。

在异构加速系统中,FPGA的可重构性使其能够快速部署新的加速功能或更新现有功能。这消除了ASIC的漫长设计和制造周期,从而实现更快的创新和响应时间。

可编程性

FPGA的可编程性允许开发人员直接在硬件级别实现算法。与软件实现相比,这提供了几个好处:

*更高的性能:FPGA能够以比CPU或GPU更高的速度并行执行算法。

*更低的功耗:FPGA的定制硬件设计可以显著减少功耗。

*更小的延迟:FPGA的硬件实现消除了软件开销,从而降低了延迟。

应用

FPGA的灵活性和可重构性使其适用于广泛的异构加速应用,包括:

*数据预处理:图像缩放、数据压缩。

*神经网络推理:CNN、RNN、LSTM。

*视频编码和解码:H.264、H.265、VP9。

*金融计算:风险建模、高频交易。

*工业控制:运动控制、过程自动化。

总结

FPGA的灵活性和可重构性使其成为异构加速系统的理想选择。通过结构灵活性、功能可重构性和直接可编程性,FPGA能够优化硬件以满足特定应用程序的需求,并快速适应不断变化的算法和技术。这些优势使FPGA能够在各种行业中推动创新和性能改进。第四部分ASIC专用性和能效关键词关键要点【ASIC专用性】

1.ASIC(专用集成电路)通过定制设计,针对特定工作负载优化,从而最大限度地提高性能。

2.定制化的指令集架构(ISA)和数据路径允许高效执行特定任务,减少不必要的计算。

3.硬件加速器通过并行处理、自定义存储层次结构和专用功能单元,进一步提高吞吐量和延迟。

【ASIC能效】

ASIC专用性和能效

ASIC(专用集成电路)是一种为特定用途或算法定制的集成电路(IC)。与通用CPU相比,ASIC提供了显著的优势,尤其是在专用性和能效方面。

专用性

ASIC设计为仅执行特定任务或算法。这使得它们能够高度优化,并针对该特定任务实现最佳性能。这种专用性消除了不必要的组件和指令,从而提高了效率和减少了延迟。

例如,图像处理ASIC被设计为专门处理图像数据。它们包含专门的硬件加速器,可以快速执行图像转换、滤波和增强等任务。这种专用性使图像处理ASIC能够比通用CPU更快、更高效地执行这些任务。

能效

ASIC的专用性质也极大地提高了能效。由于它们只包含必要的功能,因此ASIC消耗的功率比通用CPU少得多。此外,它们的设计使其能够以更低的电压和频率运行,从而进一步降低功耗。

例如,用于加密ASIC通常具有专门的硬件引擎,可以高效地执行加密算法。通过消除不必要的组件和降低功率要求,这些ASIC可以显着降低加密任务的功耗。

ASIC的优势表

|特征|ASIC|通用CPU|

||||

|专用性|高度优化|一般用途|

|能效|低功耗|高功耗|

|速度|更快|更慢|

|延迟|更低|更高|

|成本|更高(设计成本)|更低(批量生产)|

应用

ASIC广泛应用于需要高性能、低功耗和低延迟的领域。一些常见的应用包括:

*图像处理

*视频编码/解码

*加密

*人工智能/机器学习

*数据分析

趋势

随着对计算能力的需求不断增长,对ASIC的需求也在不断增加。ASIC预计将继续在各种应用中发挥关键作用,包括云计算、边缘计算和物联网(IoT)。第五部分混合架构系统设计关键词关键要点混合架构系统设计

1.在混合架构中,不同的计算节点执行特定任务,从而优化性能和资源利用率。例如,高性能计算(HPC)节点用于密集计算任务,而低功耗节点用于低优先级的后台处理。

2.混合架构允许按需扩展计算能力,并在不中断服务的情况下升级或维护系统。这为企业提供了灵活性,以适应不断变化的工作负载和技术进步。

3.混合架构的挑战包括管理不同节点之间的通信和数据流动,以及确保无缝的故障转移和负载平衡以获得最佳性能。

可扩展架构

1.可扩展架构旨在随着需求的增长而轻松扩展,以避免容量瓶颈和性能下降。通过添加额外的计算节点、内存或存储,可以以模块化方式扩展系统。

2.可扩展架构对于处理大规模数据和不断增长的工作负载至关重要,例如人工智能和机器学习训练,以及实时数据分析。

3.实现可扩展架构需要仔细规划基础设施、选择可互操作的组件以及采用自动化工具来简化管理和维护。

异构计算

1.异构计算利用不同类型的计算资源来解决不同的任务,包括CPU、GPU、FPGA甚至ASIC。这允许针对特定工作负载优化性能,最大限度地提高计算效率。

2.异构计算是人工智能、机器学习和高性能计算等领域的关键,这些领域需要既有通用计算能力又有高度并行的处理。

3.异构计算的挑战包括管理不同资源之间的通信和数据移动,以及开发能够在异构环境中高效运行的应用程序和算法。

液冷技术

1.液冷技术使用液体而不是空气来冷却服务器硬件,从而提高散热效率并降低能耗。这对于消除高性能计算系统中产生的热量至关重要。

2.液冷技术有多种形式,包括直接液体冷却、浸没式冷却和冷板冷却。每种方法都有其独特的优点和缺点,应根据具体的部署需求进行选择。

3.液冷技术面临的挑战包括液体的维护和更换,以及确保系统可靠性和数据安全。

云原生设计

1.云原生设计原则针对云环境进行了优化,允许应用程序和基础设施在分布式、可扩展和弹性的云平台上高效运行。

2.云原生设计采用容器、微服务和无状态架构,以简化部署、管理和扩展。

3.云原生设计有助于提高敏捷性、降低成本和改善应用程序的整体可靠性。

自动化和编排

1.自动化和编排工具简化了服务器硬件的管理和配置,减少了人为错误并提高了效率。

2.自动化可以应用于各种任务,包括部署、配置、监控和维护。

3.编排工具允许集中管理和协调分布式基础设施,实现复杂的应用程序和服务之间的无缝交互。混合架构系统设计

混合架构系统是一种将多个异构处理器集成到单个系统中的方法,利用了每种处理器的独特优势。异构处理器是指具有不同指令集(ISA)和微架构的处理器,例如CPU、GPU和FPGA。

在服务器硬件异构加速中,混合架构系统设计旨在解决传统同构系统面临的性能和效率瓶颈。同构系统使用相同类型的处理器来处理所有任务,而混合架构系统则根据工作负载将任务分配给最佳处理器。

以下内容概述了混合架构系统设计的主要原则和概念:

处理器分区

混合架构系统将处理器划分为不同的分区,每个分区用于处理特定的任务类型。例如,CPU分区可能用于处理通用任务,而GPU分区可能用于处理图形密集型任务。

任务调度

一个中央调度器负责将任务分配给适当的处理器分区。调度算法考虑了多个因素,包括任务的类型、每个分区的可用性以及任务之间的依赖关系。

数据共享

不同的处理器分区需要访问相同的数据。混合架构系统采用各种技术来实现数据共享,例如:

*共享内存:处理器分区可以通过共享内存区域进行快速、低延迟的数据交换。

*专用高速互连:高速互连,例如PCIe或Infiniband,可用于在处理器分区之间移动大型数据集。

*异构内存架构:异构内存架构将不同的内存类型(例如DRAM和HBM)集成到系统中,以优化特定任务的性能。

虚拟化

虚拟化技术可用于进一步提高混合架构系统的灵活性。通过使用虚拟机监控程序(VMM),可以将混合架构系统分割为多个虚拟机(VM)。每个VM可以在自己的隔离环境中运行,具有自己的处理器资源和内存。

混合架构系统设计的优点

混合架构系统设计提供了以下优点:

*性能提升:通过将任务分配给最合适的处理器,混合架构系统可以显著提高性能。

*能效提高:由于不同任务的处理效率更高,混合架构系统可以降低能耗。

*灵活性:混合架构系统可以根据不断变化的工作负载进行调整,以满足不断变化的需求。

*可扩展性:混合架构系统可以随着新处理器的出现轻松扩展,从而提供更好的性能和功能。

混合架构系统设计的挑战

混合架构系统设计也面临着一些挑战:

*编程复杂性:对于开发人员来说,为混合架构系统编写代码可能会很复杂,因为他们需要了解不同处理器分区的特性。

*数据管理:确保不同处理器分区之间的数据一致性可能具有挑战性。

*调度开销:任务调度算法需要谨慎设计,以最大程度地减少调度开销。

*生态系统支持:混合架构系统需要软件和工具的支持,而这些支持可能尚未广泛可用。

混合架构系统设计的未来

随着新颖处理器技术的不断出现,混合架构系统设计预计将在未来发挥越来越重要的作用。通过利用不同处理器类型的独特优势,混合架构系统有潜力为广泛的应用提供无与伦比的性能和效率。第六部分软件编程模型整合软件编程模型整合

异构加速服务器融合了不同类型的计算资源,包括CPU、GPU、FPGA等,以提升特定工作负载的性能和效率。然而,要充分利用异构硬件的全部潜力,必须解决软件编程模型的整合问题。

挑战:

*异构硬件具有不同的架构和指令集,导致编程模型差异化。

*开发人员必须掌握不同编程语言和API,以利用每个设备的优势。

*维护和扩展异构代码库可能会变得复杂。

解决方案:

为了解决这些挑战,已经开发了多种软件编程模型整合方法:

1.统一编程模型

*提供单一的、抽象的编程接口,适用于所有异构设备。

*屏蔽底层硬件差异,简化开发过程。

*例如:OpenCL、SYCL、HIP

2.域特定语言(DSL)

*为特定领域或工作负载量身定制的编程语言。

*提供针对目标硬件和算法的高级抽象。

*例如:CUDA、TensorFlowLite、TVM

3.编译器优化

*通过代码重写、优化和自动并行化,提高异构代码的性能。

*允许开发人员专注于算法,而不必担心底层实现。

*例如:LLVM、GCC、Clang

4.中间层(Middleware)

*提供一个与硬件无关的抽象层,简化异构设备之间的通信。

*允许使用标准API访问异构资源。

*例如:MPI、OpenMP

5.代码生成

*根据高级代码表示自动生成针对特定硬件优化的低级代码。

*消除手动代码优化的需要,提高效率和可移植性。

*例如:XilinxVitisHLS、InteloneAPICodeBuilder

6.容器化

*通过将异构代码和依赖项打包到容器中,简化部署和可移植性。

*允许在不同环境中轻松迁移和更新异构应用程序。

*例如:Docker、Kubernetes

评估标准:

选择合适的软件编程模型整合方法取决于以下标准:

*性能:整合方法是否能充分利用异构硬件的性能优势。

*易用性:开发人员是否能够轻松使用和维护异构代码。

*可移植性:整合方法是否允许代码在不同的异构硬件平台上运行。

*可扩展性:整合方法是否支持随着硬件和算法的不断发展而扩展。

*社区支持:整合方法是否有活跃的社区和文档资源。

具体实现:

软件编程模型整合在不同的异构加速服务器解决方案中得到了实现:

*英特尔oneAPI:提供统一编程模型和工具套件,包括OpenCL、SYCL和C++编译器优化。

*AMDROCm:提供开源编程模型和编译器,包括HIP、ROCmOpenCL和MLIR。

*XilinxVitis:包括针对FPGA的DSL、代码生成工具和中间层。

结论

软件编程模型整合对于异构加速服务器的成功至关重要。通过使用统一编程模型、DSL、编译器优化、中间层、代码生成和容器化等方法,开发人员可以充分利用异构硬件的全部潜力,提高性能,简化开发并提高可移植性。第七部分加速方案性能评测服务器硬件异构加速:加速方案性能评测

引言

异构加速已成为现代数据中心的重要技术,它通过将不同架构的处理单元(如CPU、GPU和FPGA)组合起来,以提高服务器性能。为了评估异构加速方案的性能,需要进行全面的基准测试和分析。

评测方法

加速方案的性能评测通常包括以下步骤:

*基准测试选择:确定与目标工作负载相关的基准测试,例如SPECCPU、SPECGPU和MLPerf。

*硬件设置:选择具有不同异构加速配置的服务器系统,以隔离加速方案的影响。

*基准测试运行:在受控环境中运行基准测试,以获取可重复、可比较的性能数据。

*性能分析:比较不同加速方案的性能数据,评估加速效果、功耗、可靠性等指标。

*统计检验:使用统计方法(如ANOVA)确定性能差异的统计显著性。

性能指标

加速方案性能评测关注以下关键指标:

*加速比:加速后与加速前基准测试时间的比率,以衡量加速方案的性能提升。

*吞吐量:单位时间内处理的数据量,以评估加速方案并行计算的能力。

*时延:处理请求或任务所需的时间,以评估加速方案的响应性。

*功耗:加速方案运行时消耗的能量,考虑其对整体系统能效的影响。

*可靠性:加速方案在预期运行时间内无故障或错误,确保稳定性。

结果解释

加速方案性能评测的结果根据具体应用和工作负载而有所不同。以下是一些常见的发现:

*加速比:GPU和FPGA加速器通常在并行计算任务中提供显著的加速比,而CPU在单线程任务中表现更佳。

*吞吐量:异构加速方案通过并行计算提高吞吐量,满足高性能计算和人工智能应用需求。

*时延:FPGA和定制加速器通常具有较低的时延,这对于实时应用至关重要。

*功耗:GPU和FPGA加速器通常比CPU功耗更高,需要考虑其总体能效影响。

*可靠性:异构加速方案通常具有较高的可靠性,但可能受到软件、固件或硬件问题的影响。

结论

加速方案性能评测对于了解和比较不同加速技术的性能至关重要。通过全面基准测试和分析,可以告知服务器硬件体系结构决策,优化工作负载性能,并最大化数据中心效率。持续的研发和行业趋势将推动异构加速方案的进一步性能提升,为高效计算和创新应用铺平道路。第八部分异构加速应用场景展望关键词关键要点AI训练加速

1.异构加速方案可显著提升AI模型训练速度,缩短开发周期。

2.专用加速器(如GPU、TPU)提供海量并行计算能力,加速训练过程。

3.软件优化与硬件协同设计,提升数据处理效率,优化训练管道。

高性能计算(HPC)

1.异构加速可满足HPC应用对算力与内存带宽的苛刻要求。

2.加速器与传统CPU协同,实现计算密集型任务的并行处理。

3.异构加速系统可高效分配资源,提升计算效率,加速科学研究与工程仿真。

数据分析与处理

1.异构加速可大幅缩短海量数据处理时间,提升分析效率。

2.加速器可加速数据预处理、特征提取等复杂计算,释放CPU资源。

3.异构加速系统优化数据处理管道,提升算法执行速度,加速业务洞察。

网络与通信

1.异构加速可提升网络设备性能,满足不断增长的数据传输需求。

2.加速器可卸载网络协议处理、数据包转发等任务,降低CPU负载。

3.异构加速技术可优化网络流量管理,提升网络稳定性和吞吐量。

虚拟化与云计算

1.异构加速可增强虚拟化平台性能,支持高负载应用运行。

2.加速器可为虚拟机提供GPU、TPU等算力资源,满足不同应用需求。

3.异构加速技术优化云计算资源分配,提升云平台的计算效率和利用率。

边缘计算

1.异构加速可提升边缘设备的算力,在本地处理时延敏感性任务。

2.加速器可加速边缘人工智能、视频分析等应用,提高边缘设备的响应速度。

3.异构加速技术优化边缘计算系统架构,降低功耗,提升边缘计算效率。异构加速应用场景展望

异构加速凭借其显著的性能优势和能效提升,已在多个应用领域展现出广阔的前景,主要包括:

1.人工智能和机器学习

*图像和视频处理:异构加速器可加速图像和视频的处理,实现更快的对象检测、图像识别和视频分析。

*自然语言处理:异构加速器可显著提升自然语言处理任务的性能,例如机器翻译、问题回答和文本摘要。

*深度学习训练:异构加速器可缩短深度学习模型的训练时间,加快新模型的开发和迭代。

2.高性能计算(HPC)

*科学计算:异构加速器可加速复杂科学计算,例如分子动力学模拟、天体物理学和气候建模。

*工程仿真:异构加速器可加速工程仿真,例如流体动力学、结构分析和碰撞模拟。

*大数据分析:异构加速器可加速大数据集的处理,提高分析速度和效率。

3.云计算和数据中心

*虚拟化和容器化:异构加速器可为虚拟机和容器提供加速功能,提升应用程序性能和资源利用率。

*云游戏:异构加速器可为云游戏提供低延迟、高性能的图形处理能力,优化游戏体验。

*大规模数据分析:异构加速器可加速云端的大规模数据分析任务,满足企业的数据处理需求。

4.金融和交易

*金融建模:异构加速器可加快金融建模,优化投资策略和风险管理。

*高频交易:异构加速器可降低交易延迟,提高交易速度和收益。

*欺诈检测:异构加速器可加速欺诈检测算法,提高金融交易的安全性。

5.媒体和娱乐

*视频制作:异构加速器可加速视频编码、解码和特效渲染,提升视频制作效率。

*虚拟现实和增强现实:异构加速器可提供高性能的图形处理能力,支持沉浸式虚拟现实和增强现实体验。

*游戏开发:异构加速器可提升游戏开发效率,加快游戏迭代和更新。

6.医疗保健

*医学图像处理:异构加速器可加速医学图像处理,例如MRI、CT和超声图像,提高诊断精度。

*生物信息学:异构加速器可加快基因组测序和分析,促进疾病研究和诊断。

*个性化医疗:异构加速器可加速患者数据的分析,支持个性化医疗和疾病预测。

7.其他应用场景

*自动驾驶:异构加速器可为自动驾驶车辆提供强大的计算和感知能力。

*智能城市:异构加速器可加速智能城市中大规模数据的处理,优化城市管理和服务。

*网络安全:异构加速器可加速网络安全分析,提升威胁检测和预防能力。关键词关键要点主题名称:容器和云计算

*关键要点:

*容器技术允许异构硬件资源在虚拟化环境中共存,简化了管理和优化。

*云计算平台提供按需访问异构硬件资源,支持灵活扩展和成本优化。

*云原生应用程序设计模式利用容器和云服务,促进跨异构硬件环境的无缝部署。

主题名称:编程语言和编译器

*关键要点:

*高级编程语言(如Python、C++)提供抽象层,隐藏异构硬件的底层复杂性。

*专用编译器针对特定硬件平台优化代码,最大化性能和能效。

*代码生成器自动生成针对异构硬件的优化代码,简

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论