脉冲毕设刘阳毕设1 3262616_第1页
脉冲毕设刘阳毕设1 3262616_第2页
脉冲毕设刘阳毕设1 3262616_第3页
脉冲毕设刘阳毕设1 3262616_第4页
脉冲毕设刘阳毕设1 3262616_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal, Phillip J. Stout, Samer Banna, Shahid Rauf, Ken Tokashiki, Jeong-Yun Lee, and Ken Collins Citation: Journal of Applied Physics 106, 103305 (2009); doi: 10.1063/1.3262616 View online: /

2、10.1063/1.3262616 View Table of Contents: /content/aip/journal/jap/106/10?ver=pdfcov Published by the AIP Publishing This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: /termsconditions. Downloaded to

3、 IP: 4 On: Sun, 02 Mar 2014 13:56:06 Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a?Phillip J. Stout,1Samer Banna,1Shahid Rauf,1Ken Tokashiki,2 Jeong-Yun Lee,2and Ken Collins1 1Applied Materials Inc., 974 E. Arques Avenue, M/S 81517

4、, Sunnyvale, California 94085, USA 2Samsung Electronics, Hwasung City, Kyunggi-Do 445-701, Republic of Korea ?Received 16 July 2009; accepted 20 October 2009; published online 30 November 2009? Pulsed rf plasmas show promise to overcome challenges for plasma etching at future technological nodes. In

5、 pulsed plasmas, it is important to characterize the transient phenomena to optimize plasma processing of materials. In particular, it is important to evaluate the effect of the ion energy and angular distribution ?IEAD? functions during pulsing on etching of nanoscale features. In this work, the im

6、pact of simultaneous pulsing of both source and bias in an inductively coupled plasma on plasmacharacteristicsandfeature profi leevolutionisdiscussedusingresultsfroma two-dimensional reactor scale plasma model coupled to a Monte Carlo based feature profi le model. Results are discussed for an Ar/Cl2

7、gas mixture which is typically used for poly-Si etching. The consequences of duty cycle, pulse shape, and the phase lag between source and bias power pulses on discharge characteristics, IEADs to the wafer, and feature profi le evolution are discussed. The low plasma density during the initial perio

8、d of the pulse was found to introduce a high energy tail component to the IEADs. This high energy tail component can be affected by modifying the pulse shape. The Si etching rate is found to increase with increasing duty cycle but is lower compared to continuous mode of operation due to lower time a

9、veraged power deposition. Pulsing the source and bias out of phase provides for increased ion energies and fl uxes to the wafer for a given duty cycle. 2009 American Institute of Physics. ?doi:10.1063/1.3262616? I. INTRODUCTION Plasma etching processes for microelectronic fabrication are facing chal

10、lenges as device scaling continues below the 45 nm technology node.1As the feature sizes shrink, require- ments regarding etch rate uniformity, control of critical di- mensions, and microloading are becoming more stringent.2 In addition, microelectronic devices are becoming more sus- ceptible to pla

11、sma damage, both physical and electrical.3 One technique that is promising regarding plasma uniformity control and reduction of charge damage during etching is pulsing, that is, time modulating the rf power source.4While capacitively coupled variants5exist, pulsed rf plasmas of in- terest are typica

12、lly inductively coupled plasmas ?ICPs? where the power is typically modulated using a square wave. Pulsed plasmas are characterized by two main parameters, pulse frequency and pulse duty cycle, which provide addi- tional degrees of freedom to optimize plasma process char- acteristics. Additionalbias

13、powerisalsocapacitively coupled in ICP systems, which provides ion acceleration. Recent experiments have shown that the operating window of pulsed plasma operation can be expanded considerably by synchronously pulsing both the source and bias powers in ICP etchers.6,7 We explore the ramifi cations o

14、f synchronous rf source and bias pulsing on plasma and etch characteristics in this article. The effects of the pulse duty cycle, pulse shape, and phase difference between the source and bias pulses on pulsed plasma characteristics, ion energy and an- gular distributions ?IEADs?, and feature profi l

15、e etching are discussed for silicon etching in Ar/Cl2plasmas. Many experimental studies have investigated the appli- cations and effect of rf source pulsing in high density plas- mas in the literature.816For example, pulsed plasmas have been shown to improve selectivity while achieving highly anisot

16、ropic etching of features which are damage-free. Mu- kai et al.8,9 reported improved properties of magnetic fi lms during Cl2plasma etching using a pulse-modulated electron cyclotron resonance ?ECR? plasma source. Kanakasabapathy et al.10demonstrated that an electron-free ion-ion plasma can be achie

17、ved in pulsed electronegative discharges. A low- frequency ?20 kHz? bias applied during the power-off period enables alternating fl uxes of positive and negative ions which reduce differential charging of the features in Cl2plas- mas. Overzet et al.11performed time resolved measurements in pulsed SF

18、6ICP discharge and observed a large negative ion fl ux to the walls immediately at the end of power-on period due to the momentary reversal of sheath electric fi elds. Mieno and Samukawa12 also achieved fl uxes of nega- tive ions to the substrate in pulsed Cl2ECR discharge as the plasma potential de

19、cays in the afterglow. Samukawa and Meino13and Samukawa and Ohtake14achieved highly selec- tive, anisotropic, notch-free, and damage-free p-Si etching in pulsed Cl2ECR discharges. Malyshev and Donnelly15inves- tigated the characteristics of pulsed Cl2ICPs with a continu- ous wave rf substrate bias.

20、They found no signifi cant differ- ences in plasma characteristics with or without rf bias during the power-on period. The electron temperature increased rap- idly during the late afterglow. Malyshev et al.16reported a?Author to whom correspondence should be addressed. Electronic mail: ankur_agarwal

21、. JOURNAL OF APPLIED PHYSICS 106, 103305 ?2009? 0021-8979/2009/106?10?/103305/12/$25.00 2009 American Institute of Physics106, 103305-1 This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: /termsconditions. Downloaded to IP:

22、 4 On: Sun, 02 Mar 2014 13:56:06 large degree of modulation in the electron density during the pulse due to the combined effect of loss of electrons via ambipolar diffusion and dissociative attachment during the afterglow in pulsed Cl2ICPs. Pulsed plasmas have also been investigated usin

23、g a va- riety of computational models.1725Meyyappan17used a volume-averaged model to investigate low pressure pulsed Cl2and CF4discharges with a peak rf power of 1200 W pulsed at 10 kHz and 25% duty cycle. Plasma characteristics were observed to be similar to those reported by Ashida et al.18for Ar

24、discharges even at high electronegativity of Cl2 discharge. In CF4, the CF2-to-F ratio was found to be only marginally enhanced due to the low threshold electron im- pact dissociation reactions which produce CF2and F simul- taneously. Ashida and Lieberman19and Lieberman and Ashida20also investigated

25、 high density pulsed Ar and Cl2 discharges using global models. They found that for a duty cycle of 25%, the peak plasma density was higher than that with cw at the same average power. Lymberopoulos et al.21 used a one-dimensional fl uid computational model to inves- tigate spatial and temporal dyna

26、mics of pulsed Ar ICP plasma. The results showed that the generation of superther- mal electrons by Ar metastable relaxation lengthened the de- cay time for the plasma potential in the afterglow. Midha and Economou22computationally demonstrated the transition of an electron-ion dominant plasma to an

27、 ion-ion plasma during the afterglow in pulsed Cl2plasma. During the active glow, the plasma was found to separate into an ion-ion core with an electron-ion periphery. Ramamurthi and Economou23inves- tigated the dynamics of pulsed Cl2ICPs using a two- dimensional ?2D? fl uid computational model. The

28、y reported a separation of plasma into an electronegative core and an electropositive periphery during the power-on period. In the power-off period, an ion-ion plasma is dominantly observed. Subramonium and Kushner24,25used a 2D and three- dimensional hybrid model to investigate pulsed ICPs in Ar an

29、d Cl2. During pulsed operation, diffusion of charged spe- cies during the power-off period smoothed the asymmetries during the next power-on period, thus improving uniformity. Although the benefi ts of pulsed rf plasmas have been amply documented, operation of the same for mass produc- tion has prov

30、en to be challenging due to the rapidly varying plasma impedance within the pulse. Commercial dynamic matching networks should therefore be able to track the plasma impedance to enable effi cient power coupling and stable plasma operation. The response time of current me- chanical matching networks

31、is however on the order of tens of milliseconds, thus limiting the operating window substan- tially and limiting their adoption for mass production. Ap- plied Materials Inc. has modifi ed its commercial ICP 300 mm Silicon etch tool, AdvantEdge, to fully support the operation of pulsed plasma along w

32、ith developing multiple techniques for optimizing rf power delivery in pulsed rf mode.6,7The matching networksresponse time is reduced to only a few microseconds, allowing for the development of etching processes utilizing plasma pulsed at low frequencies. One of the new features on this plasma etch

33、er is the capabil- ity to synchronously pulse both the source and bias powers. Synchronous pulsing of both the source and bias rf sources considerably expands the operating regime for pulsing and provides additional degrees of freedom to optimize plasma process characteristics. The reactor and featu

34、re scale models used in this inves- tigation are described in Sec. II. The impact of source and bias pulsing on the plasma characteristics, IEADs, and fea- ture profi le evolution in Ar/Cl2plasmas is discussed in Sec. III. Concluding remarks are presented in Sec. IV. II. DESCRIPTION OF THE MODELS Th

35、e Hybrid Plasma Equipment Model ?HPEM? is used to investigate plasma characteristics and reactant fl uxes to the substrate in the ICP reactor. A detailed description of HPEM was presented in Ref. 25. Briefl y, HPEM is a two- dimensional computational model, developed by the Compu- tational Plasma Sc

36、ience and Engineering Group ?now at the University of Michigan?, and consists of three main modules. Electromagnetic fi elds are calculated in the Electromagnetics Module. These fi elds are then used in the Electron Energy Transport Module to obtain the electron impact source func- tions and transpo

37、rt coeffi cients. The electron energy equation is solved for the average electron energy of bulk electrons and a Monte Carlo simulation is used to follow the trajecto- ries of sheath accelerated secondary electrons. Transport and rate coeffi cients for the bulk electrons are obtained by solv- ing Bo

38、ltzmanns equation for the electron energy distribu- tion. These results are used to solve separate continuity, mo- mentum, and energy equations for each ion and neutral species in the Fluid Kinetics Module ?FKM?. A drift diffu- sion formulation using ScharfetterGummel fl uxes is used for electrons t

39、o enable an implicit solution of Poissons equa- tion for the time varying electrostatic potential. Output from the FKM ?densities and electrostatic fi elds? is then trans- ferred to the other modules. The Plasma Chemistry Monte Carlo Module ?PCMCM? in the HPEM produces the energy and angular distrib

40、utions for neutrals and ions striking the wafer surface. The PCMCM launches pseudoparticles representing ions and neutrals based on species source functions. Using time dependent electric fi elds from the FKM, their trajectories are integrated while capturing their gas phase collisions and interacti

41、ons with the surface using the same reaction mechanism as in the HPEM. Statistics are collected on the energy and angle of pseudoparticles as they strike specifi ed locations on the sur- faces to produce time averaged energy and angular distribu- tions. In this work, the FKM module is modifi ed to a

42、chieve a specifi ed pulsed bias power. The time dependent electric fi elds are recorded during the entire pulse to enable charac- terization of the IEADs during the pulse period. The compu- tational strategy we use is as follows. Initial conditions ?e.g., plasma density and dissociation fraction? ar

43、e estimated and the model is executed with a fi xed ICP power ?time averaged value corresponding to the duty cycle? and pulsed rf bias to achieve a quasi-steady state in plasma characteristics. After achieving quasi-steady state, both ICP source and rf bias are pulsed and fi ve such pulses are simul

44、ated using direct time integration in the model. The time steps are chosen to be 103305-2Agarwal et al.J. Appl. Phys. 106, 103305 ?2009? This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: /termsconditions. Downloaded to IP

45、: 4 On: Sun, 02 Mar 2014 13:56:06 small enough to enable updating of the inductively coupled fi elds frequently. The plasma properties that we will discuss in Sec. III are the reactor averaged quantities during the fi fth pulse. We confi rmed that the plasma properties do not sig- nifi c

46、antly change with application of additional pulses. The PCMCM is then executed using the electric fi elds recorded approximately every 0.5? s during the fi fth pulse to obtain the time history of the IEADs. The model agrees well with experimentally observed trends as measured using a Lang- muir prob

47、e for a few representative conditions in Ar plasmas.7 The IEADs and fl uxes to the wafer obtained from the HPEM are used by a Monte Carlo based feature scale model to predict etch profi les. The feature scale model has also been previously described and will only be briefl y summa- rized here.26,27P

48、articles representing ions and neutrals are launched from a source plane above the feature surface. The particles energy, angle, and launch frequency are determined from the IEADs and fl uxes calculated by HPEM and PC- MCM. A particle path is tracked until the particle hits the feature surface. The

49、feature surface is defi ned as the bound- ary between occupied and unoccupied lattice cells represent- ing the plasma and material stack. Surface kinetic processes such as adsorption, refl ection, energy loss, etch, and sputter- ing are carried out, based on probabilities, at the surface location th

50、at the particle hits. Surface kinetic processes are defi ned for each particle/material pair. Charging of the fea- ture is not considered. For a pulsed plasma, the species fl ux to the wafer used by the feature model is the time averaged fl ux over the fi nal pulse period. For the results discussed

51、here, the ion and elec- tron fl uxes vary in time within the pulse period while the neutral fl ux to the wafer is a constant within the pulse period for most cases. The feature model uses a fl ux weighted av- erage IEAD of fi ve regional average IEADs given by fav?E,? = ?f?E,?,t?t?dt ?t?dt ,?1? wher

52、e E is the energy and?is the angle of incidence ?or- thogonal to the wafer? of the ions. f?E,?,t? is the distribution function, calculated in the HPEM, as a function of time. ?t? is the fl ux to the wafer for a particular specie as a function of time and fav?E,? ? is the fi nal average energy and an

53、gular distribution function calculated for each specie ?i.e., Cl2+, Cl, Ar+, etc.? and used by the feature model. III. EFFECT OF PULSING ON PLASMA AND ETCH CHARACTERISTICS The cylindrically symmetric reactor used in this study is schematically shown in Fig. 1?a?. Inductive power is sup- plied throug

54、h the two sets of coils, 15 and 40 cm in diameter, respectively. The power supplied through each coil set can be independently controlled by varying the current ratio be- tween them. The coils are above a 3 cm thick alumina win- dow which is 60 cm in diameter. Process gases, Ar/Cl2in this case, ente

55、r the chamber from the nozzle at the top and center of the reactor, and are exhausted from an annular pump port at the bottom. The 30 cm diameter wafer is on a substrate which is independently biased by a pulsed rf power supply, 15 cm below the alumina window. The base case conditions are 10 mTorr g

56、as pressure, Ar/Cl2=80/20 gas mixture at a fl ow rate of 100 SCCM ?SCCM denotes cubic centimeter per minute at STP?, peak source power from the coils of 300 W at 13.56 MHz excitation frequency, inner- :outer coil current ratio of 1:1, and rf bias peak power of 100 W at 13.56 MHz. The reaction mechan

57、ism for Ar/Cl2 used in this investigation is discussed in Ref. 25. Both the inductive and rf bias power supplies were pulsed using a square wave envelope with a fi nite ramp-up ?and ramp-down? time. The pulsed power waveform used for the base case is shown in Fig. 1?b?. A pulse excitation fre- quenc

58、y of 5 kHz was used and the pulse rises ?falls? linearly to a peak value ?zero? of 1% of the pulse period. The pulsed power waveform for the chosen?rampclosely resembles the actual rf power delivery on AdvantEdge. The rise and fall times,?ramp, corresponding to the 5 kHz pulsing frequency are 2?s. T

59、he power is maintained at its peak value through the top-fl at phase,?top-flat . We defi ne duty cycle ?ON? as the fraction of the pulse period during which power is supplied including the pulse ramp-up/-down times, ?ON? % ? = ?ramp+?top flat+?ramp ?ramp+?top flat+?ramp+?off-1+?off-2 ? 100. ?2? FIG. 1. ?a? Schematic of the ICP reactor used to investigate impact of simultaneous source and bias pulsing. ?b? Schematic of the pulse power waveform. The base case pulse frequency is 5 kHz with a pulse ramp-up and -down time of 2?s.

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论