实验三、Quartus的基本使用.ppt_第1页
实验三、Quartus的基本使用.ppt_第2页
实验三、Quartus的基本使用.ppt_第3页
实验三、Quartus的基本使用.ppt_第4页
实验三、Quartus的基本使用.ppt_第5页
已阅读5页,还剩73页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验三、Quartus软件的使用,实验目的,熟悉FPGA开发的基本流程 掌握数字集成软件Quartus II工具的流程和使用,使用软件进行简单的逻辑电路的设计,FPGA开发的基本流程 设计思想:设计思想总是比设计语言重要,一个good idea总是可以把语言用的很灵活 设计输入:考察数字电路功底和verilog语法基础 仿真:保证设计功能正确 综合:将高层设计转换为特定FPGA芯片中Primitives的网表 适配:FPGA适配器对Primitives布局布线 配置器件:,使用工具,复杂的系统设计离不开工具的支持,工具的选择也很重要,选择器件时需要考虑 Altera: QuartusII+SO

2、PCBuilder+Nios/Excalibur_arm922T+DSP Builder+SignalTap II Xilinx: ISE+EDK+MicroBlaze/PowerPC405+ Sysgen/AccelDSP+ChipScope,学习工具,help file(内容不多,几十页,英文大体都能看懂)、官网教程(用到时查看) Google良师益友 /两个不错的论坛 电子顶级开发网论坛 /嵌入式系统与集成电路设计实验室论坛,实验室软件环境,实验室安装Quartus II 9.1版本 桌面-EDA tools-Quartus II 9.1或开始-程序

3、-Altera-Quartus II 9.1 /服务器上提供软件下载,内网(实验室),eda目录下设91版本和10.1版本,目前仅使用到quartus_window.exe 外网(学校范围内) ,建立工程,选择File-New Project Wizard,添加设计文件,如.v文件、.vhdl文件、.bdf文件等,可以暂不添加,,实验设备选择Cyclone系里的EP1C6Q240C8,,EP1C6Q240C8命名 前缀(标识器件类型、类别)+封装+管脚+温度范围+速度等级+后缀(特别说明) EP:configuration设

4、备 EP 1C6 Q 240 C 8 cyclone设备,容量标识6,PQFP封装,240管脚,商用温度等级(0-85度),速度等级8,第三方综合、仿真、时序分析软件的选择,这里暂时默认为none即可,工程报告,设计输入 原理图设计输入、文本输入、层次化设计、状态图输入,File-New,原理图输入 选择File-New,选择Block Diagram/Schematic File单击ok,,双击原理图编辑器的空白处,弹出元器件库的选择对话框,,半加器的逻辑表达式 S = A B CO = A & B,primitives中 buffer:缓冲器 logic:逻辑电路 other:电源和地信号

5、 pin:引脚 storage:触发器 选择逻辑门,设计半加器电路并保存为half_adder.bdf,选择File-Create/Update-Create Symbol File For Current File生成half_adder.bsf块符号文件,以供其他设计调用(一般保存在Project中),选择File-Create/Update-Create HDL Design File For Current File生成half_adder.v文本文件,,文本输入 选择File-Verilog HDL File,设计半加器电路并保存为half_adder2.v(文件名一定要和模块名称一

6、样,否则编译的时候找不到实例模块),通过对1位半加器的例化实现1位全加器的设计,按照前面的方法生成1位全加器的方框符号图,层次化设计输入 将设计分成多个模块,自顶向下或者自底向上进行设计 利用前面设计的1位全加器设计4位全加器 选择File-New-Block Diagram/Schematic File,在Project中添加4个full_adder模块,如下图所示,/连线和总线bus的区别 /输入端X0.3、Y0.3,两个点,仿真、验证,仿真工具: Altera的quartus、Xilinx的ISE都自带有仿真工具 /不建议用自带的仿真工具 第三方软件 modelsim:很不错的一个第三方

7、软件,适合本科生阶段的学生使用,后面的课再讲 verdi:SpringSoftNovas的套件,与主流仿真工具配合,通过PLI接口,为主流仿真工具增加新的“系统任务” VCS: /verdi和VCS不要求掌握,深入研究时自学 ,关键是testbench的设计 三种方式: 1、简单测试 2、自测试 3、带测试向量文件读取的测试,testbench的结构,如何验证仿真结果,系统任务 $display,$monitor,$time,$finish,$stop 它们的使用和区别,练习、体会一下,Quartus simulator,可以仿真整个设计,也可以仿真设计的部分实体。 执行仿真的时候,必须首先在

8、用于功能仿真的Simulator Tool中,使用Generate Funcitonal Simulation Netlist生成仿真网表,如果是执行时序仿真的话,首先要对设计进行编译,仿真之前,首先要在自己的工程下建立一个向量波形文件,选择File-Verification/Debugging File-Vector Waveform File,,可以选择Edit-End Time设置一下仿真时间 接下来添加输入/输出信号,Edit-Insert Node or Bus,点击list,将工程下的输入、输出端口列出,选择需要查看的信号,选择要设置的信号,利用工具栏中的工具进行设置,这里简单设置

9、一下,利用波形工具 对Cin采用了10ns的时钟波形,对X采用的5ns的时钟波形,对Y采用的20ns的时钟波形,仿真参数可以自行设置,选择Processing- Generate Funcitonal Simulation Netlist生成仿真网表,然后选择Tools-Simulator Tool,综合(synthesis ),将HDL语言翻译成最基本的与门、或门、非门、RAM、触发器等基本逻辑单元的连接关系(网络表) Quartus II项目编译器,主要对项目设计进行检查、逻辑综合、结构综合、输出结果的逻辑配置以及时序分析,同时将设计项目适配到FPGA/CPLD目标器件中。,项目编译器 A

10、nalysis & Synthesis:把原始描述转化为逻辑电路映射到可编程器件中。 Fitter:逻辑单元在目标芯片上的布局布线 Assembler:形成编程文件 Timing Analyzer:进行时序分析 /可单独运行,也可全程编译,Pin Planner使用,AssignmentsPin Planner,设置一个管脚位置约束 /下面是一个4位全加器的引脚绑定 最后的引脚信息是保存在.qsf文件中的,下载编程,将PC机上开发好的PLD编程文件下载到开发板上的PLD器件中! 以前常用的下载编程工具: 并口+JTAG,速度慢 现在大多采用USB口+中间支持硬件(小容量CPLD)+JTAG,,

11、USB-Blaster安装,插入USB接口,提示安装驱动 usb-blaster位置C:altera91quartusdriversusb-blasterx32 选择在列表中手动安装,找到上述目录即可,下载编程,TREX-C1开发板支持两种模式编程: 1. usb blaster + JTAG 2. usb blaster + Active Serial 两种模式切换:板上 Prog/Run 开关 正常运行或者JTAG下载编程时:Run 配置EPCS1 flash器件时:Prog模式,Usb blaster原理,USB接口+USB接口芯片+低成本可编程芯片 +(Flash器件/JTAG) US

12、B接口芯片完成USB接口数据读写,将编程数据传递给后端低成本PLD PLD通过简单的逻辑,或将编程数据通过JTAG方式写入/读出主PLD芯片;或将编程数据写入单独的FLASH器件,下次系统加电后,主PLD芯片从FLASH器件中读取。,具体到TREX-C1开发板,USB接口芯片:FT245BM 低成本PLD器件:Altera MAX EPM3064A (44 pin TQFP封装) FLASH器件:EPCS1(1Mbits) 配置EP1C6需要1.167Mbits,需要做压缩后配置,JTAG方式编程步骤,模式选择为:RUN 生成设计的SOF(SRAM Object File)编程文件 Power

13、 ON 选择Tools-Programmer,时序分析,时序分析的主要作用就是察看FPGA内部逻辑和布线的延时,验证其是否满足设计者的约束。,功耗分析,Power:设计的一个重要性能,Signaltap II,内嵌逻辑分析仪 捕获并显示实时信号的状态 /支持多达1024个通道,采样深度高达128Kb,每个分析仪均有10级触发输入/输出,从而增加了采样的精度。 Simulator:通过仿真计算得出信号 Signatap II:跟踪显示实时信号,时序电路时,采集信号对信号进行跟踪 网上的流程有很多,课本上的例子也可以,这里不具体讲解,建议写个小代码跑跑流程,Quartus工程的file type /Quartus file type.mht文件,自己看一下,慢慢熟悉各种文件,组合电路,电路的输出仅是当前输入的函数,与先前值无关。,与非门,三态门,单向总线,双向总线,8-3编码器 将2的n次方个分离的信息以n个二进制码表示,普通8-3编码器,优先编码器真值表,8-3优先编码器,3-8译码器,n个二进制选择线,译码为2的n次方个数据,译码器代码一,译码器代码二,四选一电路选择器,复杂组合逻辑电路,通过实例化8-3编码器实现16-4编码器 数码管显示数字

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论